IEEE Transactions on Very Large Scale Integration (VLSI) Systems最新文献

筛选
英文 中文
Multidie 3-D Stacking of Memory Dominated Neuromorphic Architectures 内存主导型神经形态架构的多层三维堆叠
IF 2.8 2区 工程技术
IEEE Transactions on Very Large Scale Integration (VLSI) Systems Pub Date : 2024-07-25 DOI: 10.1109/tvlsi.2024.3421625
Leandro M. Giacomini Rocha, Refik Bilgic, Mohamed Naeim, Sudipta Das, Herman Oprins, Amirreza Yousefzadeh, Mario Konijnenburg, Dragomir Milojevic, James Myers, Julien Ryckaert, Dwaipayan Biswas
{"title":"Multidie 3-D Stacking of Memory Dominated Neuromorphic Architectures","authors":"Leandro M. Giacomini Rocha, Refik Bilgic, Mohamed Naeim, Sudipta Das, Herman Oprins, Amirreza Yousefzadeh, Mario Konijnenburg, Dragomir Milojevic, James Myers, Julien Ryckaert, Dwaipayan Biswas","doi":"10.1109/tvlsi.2024.3421625","DOIUrl":"https://doi.org/10.1109/tvlsi.2024.3421625","url":null,"abstract":"","PeriodicalId":13425,"journal":{"name":"IEEE Transactions on Very Large Scale Integration (VLSI) Systems","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-07-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141780236","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
IEEE Transactions on Very Large Scale Integration (VLSI) Systems Publication Information IEEE 超大规模集成 (VLSI) 系统论文集 出版信息
IF 2.8 2区 工程技术
IEEE Transactions on Very Large Scale Integration (VLSI) Systems Pub Date : 2024-07-25 DOI: 10.1109/TVLSI.2024.3415749
{"title":"IEEE Transactions on Very Large Scale Integration (VLSI) Systems Publication Information","authors":"","doi":"10.1109/TVLSI.2024.3415749","DOIUrl":"10.1109/TVLSI.2024.3415749","url":null,"abstract":"","PeriodicalId":13425,"journal":{"name":"IEEE Transactions on Very Large Scale Integration (VLSI) Systems","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-07-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=10609531","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141780235","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Low-Latency PAPR Reduction Architecture for Discrete Multitone Based on Approximate Midrange 基于近似中频的离散多音低延迟 PAPR 降低架构
IF 2.8 2区 工程技术
IEEE Transactions on Very Large Scale Integration (VLSI) Systems Pub Date : 2024-07-23 DOI: 10.1109/tvlsi.2024.3430094
Byeong Yong Kong
{"title":"Low-Latency PAPR Reduction Architecture for Discrete Multitone Based on Approximate Midrange","authors":"Byeong Yong Kong","doi":"10.1109/tvlsi.2024.3430094","DOIUrl":"https://doi.org/10.1109/tvlsi.2024.3430094","url":null,"abstract":"","PeriodicalId":13425,"journal":{"name":"IEEE Transactions on Very Large Scale Integration (VLSI) Systems","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-07-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141780237","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Model-Based Study on the Limit of the Dynamic Load Regulation Performance of a Digital Low Dropout Regulator 基于模型的数字低压差稳压器动态负载调节性能极限研究
IF 2.8 2区 工程技术
IEEE Transactions on Very Large Scale Integration (VLSI) Systems Pub Date : 2024-07-18 DOI: 10.1109/tvlsi.2024.3425771
Yichen Xu, Zhaoqing Wang, Jonghyun Oh, Mingoo Seok
{"title":"Model-Based Study on the Limit of the Dynamic Load Regulation Performance of a Digital Low Dropout Regulator","authors":"Yichen Xu, Zhaoqing Wang, Jonghyun Oh, Mingoo Seok","doi":"10.1109/tvlsi.2024.3425771","DOIUrl":"https://doi.org/10.1109/tvlsi.2024.3425771","url":null,"abstract":"","PeriodicalId":13425,"journal":{"name":"IEEE Transactions on Very Large Scale Integration (VLSI) Systems","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-07-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141739165","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Functionally Possible Path Delay Faults With High Functional Switching Activity 功能开关活动频繁时可能出现的路径延迟故障
IF 2.8 2区 工程技术
IEEE Transactions on Very Large Scale Integration (VLSI) Systems Pub Date : 2024-07-16 DOI: 10.1109/tvlsi.2024.3425817
Irith Pomeranz, Yervant Zorian
{"title":"Functionally Possible Path Delay Faults With High Functional Switching Activity","authors":"Irith Pomeranz, Yervant Zorian","doi":"10.1109/tvlsi.2024.3425817","DOIUrl":"https://doi.org/10.1109/tvlsi.2024.3425817","url":null,"abstract":"","PeriodicalId":13425,"journal":{"name":"IEEE Transactions on Very Large Scale Integration (VLSI) Systems","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-07-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141717633","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Novel Optimized Designs of Modulo 2n+1 Adder for Quantum Computing 用于量子计算的模 2$^n$ $+$ 1 加法器的新型优化设计
IF 2.8 2区 工程技术
IEEE Transactions on Very Large Scale Integration (VLSI) Systems Pub Date : 2024-07-15 DOI: 10.1109/TVLSI.2024.3418930
Bhaskar Gaur;Himanshu Thapliyal
{"title":"Novel Optimized Designs of Modulo 2n+1 Adder for Quantum Computing","authors":"Bhaskar Gaur;Himanshu Thapliyal","doi":"10.1109/TVLSI.2024.3418930","DOIUrl":"10.1109/TVLSI.2024.3418930","url":null,"abstract":"Quantum modular adders are one of the most fundamental yet versatile quantum computation operations. They help implement the functions of higher complexity, such as subtraction and multiplication, which are used in applications, such as quantum cryptanalysis, quantum image processing, and securing communication. To the best of our knowledge, there is no existing design of quantum modulo (\u0000<inline-formula> <tex-math>$2^{n}+1$ </tex-math></inline-formula>\u0000) adder (QMA). In this work, we propose four quantum adders targeted specifically for modulo (\u0000<inline-formula> <tex-math>$2^{n}+1$ </tex-math></inline-formula>\u0000) addition. These adders can provide both regular and modulo (\u0000<inline-formula> <tex-math>$2^{n}+1$ </tex-math></inline-formula>\u0000) sum concurrently, enhancing their application in residue number system-based arithmetic. Our first design, QMA1, is a novel quantum modulo (\u0000<inline-formula> <tex-math>$2^{n}+1$ </tex-math></inline-formula>\u0000) adder. The second proposed adder, QMA2, optimizes the utilization of quantum gates within the QMA1, resulting in 37.5% reduced CNOT gate count, 46.15% reduced CNOT depth, and 26.5% decrease in both Toffoli gates and depth. We propose a third adder QMA3 that uses zero resets, a dynamic circuits-based feature that reuses qubits, leading to 25% savings in qubit count. Our fourth design, QMA4, demonstrates the benefit of incorporating additional zero resets to achieve a purer \u0000<inline-formula> <tex-math>$|0$ </tex-math></inline-formula>\u0000<inline-formula> <tex-math>$rangle $ </tex-math></inline-formula>\u0000 state, reducing quantum state preparation errors. Notably, we conducted experiments using 5-qubit configurations of the proposed modulo (\u0000<inline-formula> <tex-math>$2^{n}+1$ </tex-math></inline-formula>\u0000) adders on the IBM Washington, a 127-qubit quantum computer based on the Eagle R1 architecture, to demonstrate a 28.8% reduction in QMA1’s error of which do the following: 1) 18.63% error reduction happens due to gate/depth reduction in QMA2; 2) 2.53% drop in error due to qubit reduction in QMA3; and 3) 7.64% error decreased due to application of additional zero resets in QMA4.","PeriodicalId":13425,"journal":{"name":"IEEE Transactions on Very Large Scale Integration (VLSI) Systems","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-07-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141717635","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
High-Accuracy and Low-Multiplication Recursive Discrete Cosine Transform Algorithm Design and Its Realization in Mel-Scale Frequency Cepstral Coefficients 高精度、低乘法递归离散余弦变换算法设计及其在 Mel-Scale 频率倒频谱系数中的实现
IF 2.8 2区 工程技术
IEEE Transactions on Very Large Scale Integration (VLSI) Systems Pub Date : 2024-07-15 DOI: 10.1109/tvlsi.2024.3422994
Shin-Chi Lai, Szu-Ting Wang, Yi-Chang Zhu, Ying-Hsiu Hung, Jeng-Dao Lee, Wei-Da Chen
{"title":"High-Accuracy and Low-Multiplication Recursive Discrete Cosine Transform Algorithm Design and Its Realization in Mel-Scale Frequency Cepstral Coefficients","authors":"Shin-Chi Lai, Szu-Ting Wang, Yi-Chang Zhu, Ying-Hsiu Hung, Jeng-Dao Lee, Wei-Da Chen","doi":"10.1109/tvlsi.2024.3422994","DOIUrl":"https://doi.org/10.1109/tvlsi.2024.3422994","url":null,"abstract":"","PeriodicalId":13425,"journal":{"name":"IEEE Transactions on Very Large Scale Integration (VLSI) Systems","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-07-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141717634","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A High-Throughput Constructive Interference Precoder for $16 ttimes 16$ MU-MIMO Systems 适用于 16 美元多 MU-MIMO 系统的高吞吐量建设性干扰前置编码器
IF 2.8 2区 工程技术
IEEE Transactions on Very Large Scale Integration (VLSI) Systems Pub Date : 2024-07-12 DOI: 10.1109/tvlsi.2024.3423341
Yu-Cheng Lin, Ren-Hao Chiou, Chia-Hsiang Yang
{"title":"A High-Throughput Constructive Interference Precoder for $16 ttimes 16$ MU-MIMO Systems","authors":"Yu-Cheng Lin, Ren-Hao Chiou, Chia-Hsiang Yang","doi":"10.1109/tvlsi.2024.3423341","DOIUrl":"https://doi.org/10.1109/tvlsi.2024.3423341","url":null,"abstract":"","PeriodicalId":13425,"journal":{"name":"IEEE Transactions on Very Large Scale Integration (VLSI) Systems","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-07-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141612038","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A nMOS-R Cross-Coupled Level Shifter With High dV/dt Noise Immunity for 600-V High-Voltage Gate Driver IC 用于 600 V 高压栅极驱动器集成电路、具有高 dV/dt 抗噪能力的 nMOS-R 交叉耦合电平转换器
IF 2.8 2区 工程技术
IEEE Transactions on Very Large Scale Integration (VLSI) Systems Pub Date : 2024-07-12 DOI: 10.1109/tvlsi.2024.3417385
Yu Lu, Xiaowu Cai, Jian Lu, Longli Pan, Jianying Dang, Yafei Xie, Xupeng Wang, Bo Li
{"title":"A nMOS-R Cross-Coupled Level Shifter With High dV/dt Noise Immunity for 600-V High-Voltage Gate Driver IC","authors":"Yu Lu, Xiaowu Cai, Jian Lu, Longli Pan, Jianying Dang, Yafei Xie, Xupeng Wang, Bo Li","doi":"10.1109/tvlsi.2024.3417385","DOIUrl":"https://doi.org/10.1109/tvlsi.2024.3417385","url":null,"abstract":"","PeriodicalId":13425,"journal":{"name":"IEEE Transactions on Very Large Scale Integration (VLSI) Systems","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-07-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141612039","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Improvement in Resilience of AES Design With Reconfigured CFB Mode Against Power Attacks 利用重新配置的 CFB 模式提高 AES 设计的抗功率攻击能力
IF 2.8 2区 工程技术
IEEE Transactions on Very Large Scale Integration (VLSI) Systems Pub Date : 2024-07-11 DOI: 10.1109/tvlsi.2024.3422501
Thockchom Birjit Singha, Basa Sanjana, Titu Mary Ignatius, Roy Paily Palathinkal, Shaik Rafi Ahamed
{"title":"Improvement in Resilience of AES Design With Reconfigured CFB Mode Against Power Attacks","authors":"Thockchom Birjit Singha, Basa Sanjana, Titu Mary Ignatius, Roy Paily Palathinkal, Shaik Rafi Ahamed","doi":"10.1109/tvlsi.2024.3422501","DOIUrl":"https://doi.org/10.1109/tvlsi.2024.3422501","url":null,"abstract":"","PeriodicalId":13425,"journal":{"name":"IEEE Transactions on Very Large Scale Integration (VLSI) Systems","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-07-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141612040","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信