Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198)最新文献

筛选
英文 中文
Formal design verification for correctness of pipelined microprocessors with out-of-order instruction execution 具有乱序指令执行的流水线微处理器正确性的正式设计验证
Takashi Takenaka, J. Kitamichi, T. Higashino, K. Taniguchi
{"title":"Formal design verification for correctness of pipelined microprocessors with out-of-order instruction execution","authors":"Takashi Takenaka, J. Kitamichi, T. Higashino, K. Taniguchi","doi":"10.1109/ASPDAC.1999.759989","DOIUrl":"https://doi.org/10.1109/ASPDAC.1999.759989","url":null,"abstract":"In this paper, we propose a verification method for pipelined microprocessors with out-of-order execution. We define a class of pipelined microprocessors with out-of-order execution and give a sufficient condition that guarantees the correctness of implementation. Each microprocessor in this class has a pipeline stg/sub 1/,...,stg/sub n/ such that the stages stg/sub c/,...,stg/sub n/ are so-called \"in-order pipeline\" and changes the execution order of instructions within the stages of stg/sub 1/,...,stg/sub c-1/. Using our method, we carried out the correctness proof of a practical 6-stage pipelined microprocessor that has a so-called scoreboard. We used a verifier having a decision procedure for Presburger sentences. The total CPU time spent in the proof was about 8 hours.","PeriodicalId":201352,"journal":{"name":"Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198)","volume":"35 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1999-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114750721","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Interconnect delay estimation models for synthesis and design planning 用于综合和设计规划的互连延迟估计模型
J. Cong, D. Pan
{"title":"Interconnect delay estimation models for synthesis and design planning","authors":"J. Cong, D. Pan","doi":"10.1109/ASPDAC.1999.759720","DOIUrl":"https://doi.org/10.1109/ASPDAC.1999.759720","url":null,"abstract":"In this paper we develop a set of interconnect delay estimation models with consideration of various layout optimizations, including optimal wire-sizing (OWS), simultaneous driver and wire sizing (SDWS), and simultaneous buffer insertion/sizing and wire sizing (BISWS). These models have been tested on a wide range of parameters and shown to have about 90% accuracy on average compared with those from running complex optimization algorithms directly followed by HSPICE simulations. Moreover, our models run in constant time in practice. As a result, these simple, fast, yet accurate models are expected to be very useful for a wide variety of purposes, including layout-driven logic and high level synthesis, performance-driven floorplanning, and interconnect planning.","PeriodicalId":201352,"journal":{"name":"Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198)","volume":"88 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1999-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126208651","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 58
Optimal evaluation clocking of self-resetting domino pipelines 自复位多米诺骨牌管道的最优评估时钟
K. Yun, Ayoob E. Dooply
{"title":"Optimal evaluation clocking of self-resetting domino pipelines","authors":"K. Yun, Ayoob E. Dooply","doi":"10.1109/ASPDAC.1999.759728","DOIUrl":"https://doi.org/10.1109/ASPDAC.1999.759728","url":null,"abstract":"We describe a high performance clocking methodology for domino pipelines. Our technique maximizes the clock rate of the circular pipeline (\"ring\") while maintaining the ring cycle time to be the worst-case combinational logic delay around the ring. It is relatively immune to global clock skew, incurs no latch overhead, allows up to 50% time borrowing, and offers a robust way of preventing race-through problems, adjusted for the worst-case time borrowing.","PeriodicalId":201352,"journal":{"name":"Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198)","volume":"68 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1999-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126342733","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
An SA-based nonlinear function synthesizer for linear analog integrated circuits 线性模拟集成电路的非线性函数合成器
Huazhong Yang, Rong Luo, Hui Wang, Runsheng Liu
{"title":"An SA-based nonlinear function synthesizer for linear analog integrated circuits","authors":"Huazhong Yang, Rong Luo, Hui Wang, Runsheng Liu","doi":"10.1109/ASPDAC.1999.759697","DOIUrl":"https://doi.org/10.1109/ASPDAC.1999.759697","url":null,"abstract":"Nonlinear functions can be approximated by the linear combination of base functions, which provides a road towards the analog synthesis. An improved Simulated Annealing Algorithm (SA) for nonlinear function approximation and a universal implementation of analog circuits are presented in this paper. Synthesis results demonstrate the validity and efficiency of the proposed approach.","PeriodicalId":201352,"journal":{"name":"Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198)","volume":"43 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1999-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129963072","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
EDA Roadmap and Future VLSI Design Technology Enhancement EDA路线图和未来VLSI设计技术的改进
T. Hiwatashi
{"title":"EDA Roadmap and Future VLSI Design Technology Enhancement","authors":"T. Hiwatashi","doi":"10.1109/ASPDAC.1999.760037","DOIUrl":"https://doi.org/10.1109/ASPDAC.1999.760037","url":null,"abstract":"As the recent rapid progress in semiconductor technology, it is expected that a whole system including MPU, memories, logic circuits and analog blocks can be implemented on a single chip under 0.18 micron technology in 1999. On such a \"System LSI\" or \"System On a Chip\", various features can be realized due to the innovation of semiconductor process technologies. To design a \"System LSI\", different design and verification methodologies are required for each block to obtain an optimal performance. This situation introduces a new era when the EDA technologies play an indispensable role. This session is a general introduction to the EDA and design technology activities. Such as \"Roadmap Organization and Activities in Japan\", \"EDA Roadmap in Japan\", \"A US/Japan Comparison of DesigdEDA Capabilities\" and \"VCDS: Virtual Core based Design System\". The topic of \"Hardware/Software CO-design\" is also addressed as an embedded tutorial.","PeriodicalId":201352,"journal":{"name":"Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198)","volume":"5 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1999-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131111043","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Package market segments and design challenges 包装细分市场和设计挑战
R. Bracken
{"title":"Package market segments and design challenges","authors":"R. Bracken","doi":"10.1109/ASPDAC.1999.760044","DOIUrl":"https://doi.org/10.1109/ASPDAC.1999.760044","url":null,"abstract":"In the development of the 1997 edition of the National Technology Roadmap for Semiconductors (NTRS), the Roadmap Coordinating Group (RCG) recognized the needs of the semiconductor industry for larger chips having higher speed and power, and greater transistor density. The overall consensus is that the industry had over-run the present Roadmap Technology projections by about 1-2 years. From these projections of the overall technology characteristics are derived for the needs for packaging and assembly technologies that support and enable this dynamic growth. In the present paper, we shall provide detailed discussions of the potential solutions for the high density substrates and the issues that the wafer development brings to the creation of packaging solutions for future electronic systems. The most apparent changes to the packaging technology requirements changes have been driven by the increase in chip speed and functionality, the materials set for wafer level interconnect, and the power requirements. These quantities have driven the derived needs for greater and greater interconnect to and from the chip, different materials for packaging solutions, more effective thermal management, and software systems to design and model it all. The package is also shrinking to meet the miniaturization requirements of many of the hand-held products. The most challenging issue is the cost of the packaging solutions, which has generally exceeded the targets desired by users. Additional invention and/or development will be needed before the needed packaging can be deployed as affordable solutions.","PeriodicalId":201352,"journal":{"name":"Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198)","volume":"9 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1999-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132168294","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A scalable pipelined architecture for separable 2-D discrete wavelet transform 二维离散小波变换的可扩展流水线结构
J. Jou, Pei-Yin Chen, Yeu-Horng Shiau, Ming-Shiang Liang
{"title":"A scalable pipelined architecture for separable 2-D discrete wavelet transform","authors":"J. Jou, Pei-Yin Chen, Yeu-Horng Shiau, Ming-Shiang Liang","doi":"10.1109/ASPDAC.1999.759996","DOIUrl":"https://doi.org/10.1109/ASPDAC.1999.759996","url":null,"abstract":"This paper presents a highly scalable efficient architecture for separable 2-D Discrete Wavelet Transform (DWT) which is simple, regular, modular and pipelined for the computation of 2-D DWT. With these properties, it is easily scalable for different filter lengths and different octave levels. In addition, the architecture has the characteristics of lower hardware cost, shorter latency, and higher throughput rate.","PeriodicalId":201352,"journal":{"name":"Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198)","volume":"132 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1999-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115022815","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Timing optimization of logic network using gate duplication 基于门重复的逻辑网络时序优化
Chun-hong Chen, C. Tsui
{"title":"Timing optimization of logic network using gate duplication","authors":"Chun-hong Chen, C. Tsui","doi":"10.1109/ASPDAC.1999.760003","DOIUrl":"https://doi.org/10.1109/ASPDAC.1999.760003","url":null,"abstract":"We present a timing optimization algorithm based on the concept of gate duplication on the technology-decomposed network. We first examine the relationship between gate duplication and delay reduction, and then introduce the notion of duplication gain for selecting the good candidate gates to be duplicated. The objective is to obtain the maximum delay reduction with the minimum duplications. The performance of the algorithm is demonstrated with experiments on benchmark circuits. Our approach can also be combined with other technology-independent timing optimizers (such as speed-up) to achieve further delay improvement.","PeriodicalId":201352,"journal":{"name":"Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198)","volume":"82 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1999-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134445055","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
An 8 b 52 MHz double-channel CMOS A/D converter for high-speed data communications 用于高速数据通信的8b 52 MHz双通道CMOS A/D转换器
Juhee Kim, Sungkil Hwang, Seunghoon Lee, Y. Jee
{"title":"An 8 b 52 MHz double-channel CMOS A/D converter for high-speed data communications","authors":"Juhee Kim, Sungkil Hwang, Seunghoon Lee, Y. Jee","doi":"10.1109/ASPDAC.1999.759701","DOIUrl":"https://doi.org/10.1109/ASPDAC.1999.759701","url":null,"abstract":"This paper describes an 8 b 52 MHz CMOS subranging analog-to-digital converter for Integrated Services Digital Network applications. The ADC based on an improved time-interleaved architecture removes the holding time which is typically observed in the conventional double-channel subranging ADCs for an increased throughput rate. The fabricated and measured prototype ADC in a 0.8 /spl mu/m CMOS process shows nonlinearities less than /spl plusmn/0.4 LSB at an 8 b level with 5 V and 230 mW.","PeriodicalId":201352,"journal":{"name":"Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198)","volume":"42 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1999-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126961715","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Solving the rectangular packing problem by an adaptive GA based on sequence-pair 基于序列对的自适应遗传算法求解矩形装箱问题
Koichi Hatta, S. Wakabayashi, T. Koide
{"title":"Solving the rectangular packing problem by an adaptive GA based on sequence-pair","authors":"Koichi Hatta, S. Wakabayashi, T. Koide","doi":"10.1109/ASPDAC.1999.759990","DOIUrl":"https://doi.org/10.1109/ASPDAC.1999.759990","url":null,"abstract":"In this paper, we propose a genetic algorithm (GA) to solve the rectangular packing problem (RP), in which the sequence-pair representation is adopted as the coding scheme of each chromosome. New genetic operators for RP are presented to explore the search space efficiently. The proposed GA has an adaptive strategy which dynamically selects an appropriate genetic operator during the GA execution depending on the state of an individual. Experimental results show the effectiveness of our adaptive genetic algorithm compared to simulated annealing (SA).","PeriodicalId":201352,"journal":{"name":"Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198)","volume":"40 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1999-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121738390","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:604180095
Book学术官方微信