SPIE Photomask Technology最新文献

筛选
英文 中文
Model-based multiple patterning layout decomposition 基于模型的多模式布局分解
SPIE Photomask Technology Pub Date : 2015-10-23 DOI: 10.1117/12.2197852
Daifeng Guo, Haitong Tian, Yuelin Du, Martin D. F. Wong
{"title":"Model-based multiple patterning layout decomposition","authors":"Daifeng Guo, Haitong Tian, Yuelin Du, Martin D. F. Wong","doi":"10.1117/12.2197852","DOIUrl":"https://doi.org/10.1117/12.2197852","url":null,"abstract":"As one of the most promising next generation lithography technologies, multiple patterning lithography (MPL) plays an important role in the attempts to keep in pace with 10 nm technology node and beyond. With feature size keeps shrinking, it has become impossible to print dense layouts within one single exposure. As a result, MPL such as double patterning lithography (DPL) and triple patterning lithography (TPL) has been widely adopted. There is a large volume of literature on DPL/TPL layout decomposition, and the current approach is to formulate the problem as a classical graph-coloring problem: Layout features (polygons) are represented by vertices in a graph G and there is an edge between two vertices if and only if the distance between the two corresponding features are less than a minimum distance threshold value dmin. The problem is to color the vertices of G using k colors (k = 2 for DPL, k = 3 for TPL) such that no two vertices connected by an edge are given the same color. This is a rule-based approach, which impose a geometric distance as a minimum constraint to simply decompose polygons within the distance into different masks. It is not desired in practice because this criteria cannot completely capture the behavior of the optics. For example, it lacks of sufficient information such as the optical source characteristics and the effects between the polygons outside the minimum distance. To remedy the deficiency, a model-based layout decomposition approach to make the decomposition criteria base on simulation results was first introduced at SPIE 2013.1 However, the algorithm1 is based on simplified assumption on the optical simulation model and therefore its usage on real layouts is limited. Recently AMSL2 also proposed a model-based approach to layout decomposition by iteratively simulating the layout, which requires excessive computational resource and may lead to sub-optimal solutions. The approach2 also potentially generates too many stiches. In this paper, we propose a model-based MPL layout decomposition method using a pre-simulated library of frequent layout patterns. Instead of using the graph G in the standard graph-coloring formulation, we build an expanded graph H where each vertex represents a group of adjacent features together with a coloring solution. By utilizing the library and running sophisticated graph algorithms on H, our approach can obtain optimal decomposition results efficiently. Our model-based solution can achieve a practical mask design which significantly improves the lithography quality on the wafer compared to the rule based decomposition.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"45 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125648112","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Improvement in accuracy of defect size measurement by automatic defect classification 利用缺陷自动分类技术提高缺陷尺寸测量的精度
SPIE Photomask Technology Pub Date : 2015-10-23 DOI: 10.1117/12.2202511
Bhamidipati Samir, Mark Pereira, Sankaranarayanan Paninjath, Chan-uk Jeon, Dong-Hoon Chung, Gi-sung Yoon, H. Jung
{"title":"Improvement in accuracy of defect size measurement by automatic defect classification","authors":"Bhamidipati Samir, Mark Pereira, Sankaranarayanan Paninjath, Chan-uk Jeon, Dong-Hoon Chung, Gi-sung Yoon, H. Jung","doi":"10.1117/12.2202511","DOIUrl":"https://doi.org/10.1117/12.2202511","url":null,"abstract":"The blank mask defect review process involves detailed analysis of defects observed across a substrate’s multiple preparation stages, such as cleaning and resist-coating. The detailed knowledge of these defects plays an important role in the eventual yield obtained by using the blank. Defect knowledge predominantly comprises of details such as the number of defects observed, and their accurate sizes. Mask usability assessment at the start of the preparation process, is crudely based on number of defects. Similarly, defect size gives an idea of eventual wafer defect printability. Furthermore, monitoring defect characteristics, specifically size and shape, aids in obtaining process related information such as cleaning or coating process efficiencies. Blank mask defect review process is largely manual in nature. However, the large number of defects, observed for latest technology nodes with reducing half-pitch sizes; and the associated amount of information, together make the process increasingly inefficient in terms of review time, accuracy and consistency. The usage of additional tools such as CDSEM may be required to further aid the review process resulting in increasing costs. Calibre® MDPAutoClassify™ provides an automated software alternative, in the form of a powerful analysis tool for fast, accurate, consistent and automatic classification of blank defects. Elaborate post-processing algorithms are applied on defect images generated by inspection machines, to extract and report significant defect information such as defect size, affecting defect printability and mask usability. The algorithm’s capabilities are challenged by the variety and complexity of defects encountered, in terms of defect nature, size, shape and composition; and the optical phenomena occurring around the defect [1]. This paper mainly focuses on the results from the evaluation of Calibre® MDPAutoClassify™ product. The main objective of this evaluation is to assess the capability of accurately estimating the size of the defect from the inspection images automatically. The sensitivity to weak defect signals, filtering out noise to identify the defect signals and locating the defect in the images are key success factors. The performance of the tool is assessed on programmable defect masks and production masks from HVM production flow. Implementation of Calibre® MDPAutoClassify™ is projected to improve the accuracy of defect size as compared to what is reported by inspection machine, which is very critical for production, and the classification of defects will aid in arriving at appropriate dispositions like SEM review, repair and scrap.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124367400","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Fabrication of a full-size EUV pellicle based on silicon nitride 基于氮化硅的全尺寸EUV薄膜的制备
SPIE Photomask Technology Pub Date : 2015-10-23 DOI: 10.1117/12.2196901
D. Goldfarb
{"title":"Fabrication of a full-size EUV pellicle based on silicon nitride","authors":"D. Goldfarb","doi":"10.1117/12.2196901","DOIUrl":"https://doi.org/10.1117/12.2196901","url":null,"abstract":"In this paper, the fabrication and initial characterization of an unsupported membrane composed of a single ultrathin silicon nitride (SiNx) layer with potential application as a EUV pellicle is described in detail. A full size free-standing pellicle with inner film area equal to 113x145mm and champion EUV transparency equal to 89.5% (single pass) is demonstrated utilizing the methodology presented in this study. The exemplary EUV transparency of the reported pellicle was achieved by limiting the membrane thickness to 16nm, while the intrinsic mechanical stability for the silicon nitride film was realized by adjusting the Si:N ratio to provide a non-stoichiometric layer featuring low tensile stress. The pellicle thickness, elemental composition and mass density were used to calculate the expected EUV transparency, which was found to be in good agreement with experimental EUV transmission measurements. Additionally, careful consideration was given to process-induced mechanical instabilities exerted on the ultrathin pellicle during the wet etch, rinsing and drying fabrication steps, and a unique yet simple set of ancillary hardware, materials and processing techniques was introduced to minimize such disturbances and yield large-area pellicles that are free of visible defects and wrinkles. In the absence of commercially available actinic inspection tools, a distinctive advantage of the SiNx membrane versus a Silicon-based EUV pellicle solution is the demonstrated ArF transmission, making it attractive for through-pellicle mask defect inspection and advanced metrology work utilizing available 193nm excimer laser and detection systems. A preliminary heat load test indicates that the SiNx-based EUV pellicle would be marginally compatible with an equivalent 80W EUV source.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"26 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126996284","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 30
Optical simulations for fractional fluorine terminated coatings on nanoimprint lithography masks 纳米压印掩模上分数氟端部涂层的光学模拟
SPIE Photomask Technology Pub Date : 2015-10-23 DOI: 10.1117/12.2197371
T. Seidel, A. Goldberg, M. Halls
{"title":"Optical simulations for fractional fluorine terminated coatings on nanoimprint lithography masks","authors":"T. Seidel, A. Goldberg, M. Halls","doi":"10.1117/12.2197371","DOIUrl":"https://doi.org/10.1117/12.2197371","url":null,"abstract":"Simulations of the optical intensity within Nano Imprint Lithography (NIL) mask features have been made for patterned quartz masks having ultrathin film coatings with different indices of refraction. Fractionally fluorine terminated surfaces, previously proposed for improving the yield of NIL processes, are briefly reviewed. Optical intensity solutions within the feature were obtained using Panoramictech Maxwell solver software for variances in the optical constants of the coating films, aspect ratio, feature size, and wavelength.. The coated masks have conformal surface, higher index of refraction under-layer coating and a fractional terminated fluorine hydrocarbon (FHC) monomolecular layer. The values of optical constants for the FHC layers are unknown, so a range of ad-hoc values were simulated. Optical constants for quartz mask and Al2O3, TiO2 and Si under-layer films are taken from the literature. Wavelengths were varied from 193nm to 365nm. The question of photo-dissociation of the FHC layer for higher energy photons is addressed from first principles, with the result that the F-terminated layers are stable at higher wavelengths. Preliminary simulations for features filled with resist over various substrates are dependent on the antireflection character of the underlying film system. The optical intensity is generally increased within the simulated mask feature when coated with a higher index/FHC films relative to the uncoated reference quartz mask for ~5nm physical feature sizes.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"10 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114627212","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Mask process simulation for mask quality improvement 用于掩模质量改进的掩模过程仿真
SPIE Photomask Technology Pub Date : 2015-10-23 DOI: 10.1117/12.2196713
Nobuyasu Takahashi, So Goto, Dai Tsunoda, So-Eun Shin, Sukho Lee, J. Shon, Ji-Soong Park
{"title":"Mask process simulation for mask quality improvement","authors":"Nobuyasu Takahashi, So Goto, Dai Tsunoda, So-Eun Shin, Sukho Lee, J. Shon, Ji-Soong Park","doi":"10.1117/12.2196713","DOIUrl":"https://doi.org/10.1117/12.2196713","url":null,"abstract":"Demand for mask process correction (MPC) is growing facing the 14nm era. We have developed model based MPC and can generate mask contours by using this mask process model. This mask process model consists of EB (development) and etch, which employs a threshold (level set) model and a variable bias model respectively. The model calibration tool accepts both CD measurement results and SEM images. The simulation can generate mask image (contour), runs with distributed computing resources, and has scalable performance. The contour simulation shows the accuracy of the MPC correction visually and provides comprehensive information about hot spots in mask fabrication. Additionally, it is possible to improve lithography simulation quality by providing a simulated mask contour. In this paper, accuracy and computational performance of mask process simulation are shown. The focus is on the difference between the calibration methods using CDs or images.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"28 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123078831","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Photomask repair using low-energetic electrons 利用低能电子修复光掩膜
SPIE Photomask Technology Pub Date : 2015-10-23 DOI: 10.1117/12.2207755
K. Edinger, K. Wolff, P. Spies, T. Luchs, H. Schneider, N. Auth, C. F. Hermanns, M. Waiblinger
{"title":"Photomask repair using low-energetic electrons","authors":"K. Edinger, K. Wolff, P. Spies, T. Luchs, H. Schneider, N. Auth, C. F. Hermanns, M. Waiblinger","doi":"10.1117/12.2207755","DOIUrl":"https://doi.org/10.1117/12.2207755","url":null,"abstract":"Mask repair is an essential step in the mask manufacturing process as the extension of 193nm technology and the insertion of EUV are drivers for mask complexity and cost. The ability to repair all types of defects on all mask blank materials is crucial for the economic success of a mask shop operation. In the future mask repair is facing several challenges. The mask minimum features sizes are shrinking and require a higher resolution repair tool. At the same time mask blanks with different new mask materials are introduced to optimize optical performance and long term durability. For EUV masks new classes of defects like multilayer and phase defects are entering the stage. In order to achieve a high yield, mask repair has to cover etch and deposition capabilities and must not damage the mask. We will demonstrate in this paper that low energetic electron-beam (e-beam)-based mask repair is a commercially viable solution. Therefore we developed a new repair platform called MeRiT® neXT to address the technical challenges of this new technology. We will analyze the limits of the existing as well as lower energetic electron induced repair technologies theoretically and experimentally and show performance data on photomask reticles. Based on this data, we will give an outlook to future mask repair technology.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"27 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129636913","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Process capability of etched multilayer EUV mask 刻蚀多层EUV掩模的工艺性能
SPIE Photomask Technology Pub Date : 2015-10-23 DOI: 10.1117/12.2197686
K. Takai, Noriko Iida nee Sakurai, T. Kamo, Yasutaka Morikawa, N. Hayashi
{"title":"Process capability of etched multilayer EUV mask","authors":"K. Takai, Noriko Iida nee Sakurai, T. Kamo, Yasutaka Morikawa, N. Hayashi","doi":"10.1117/12.2197686","DOIUrl":"https://doi.org/10.1117/12.2197686","url":null,"abstract":"With shrinking pattern size at 0.33NA EUV lithography systems, mask 3D effects are expected to become stronger, such as horizontal/vertical shadowing, best focus shifts through pitch and pattern shift through focus. Etched multilayer EUV mask structures have been proposed in order to reduce mask 3D effects. It is estimated that etched multilayer type mask is also effective in reducing mask 3D effects at 0.33NA with lithographic simulation, and it is experimentally demonstrated with NXE3300 EUV Lithography system. We obtained cross-sectional TEM image of etched multilayer EUV mask pattern. It is observed that patterned multilayer width differs from pattern physical width. This means that effective reflecting width of etched multilayer pattern is smaller than pattern width measured by CD-SEM. In this work, we evaluate mask durability against both chemical and physical cleaning process to check the feasibility of etched multilayer EUV mask patterning against mask cleaning for 0.33NA EUV extension. As a result, effective width can be controlled by suitable cleaning chemicals because sidewall film works as a passivation film. And line and space pattern collapse is not detected by DUV mask pattern inspection tool after mask physical cleaning that includes both megasonic and binary spray steps with sufficient particle removal efficiency.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"66 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130363066","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Accurate defect die placement and nuisance defect reduction for reticle die-to-die inspections 准确的缺陷模具定位和减少讨厌的缺陷,为网线模具到模具的检查
SPIE Photomask Technology Pub Date : 2015-10-23 DOI: 10.1117/12.2196931
Vincent Wen, L. R. Huang, C. J. Lin, Y. N. Tseng, W. H. Huang, L. Tuo, Mark P. Wylie, E. Chen, Elvik Wang, Joshua Glasser, Amrish Kelkar, David Wu
{"title":"Accurate defect die placement and nuisance defect reduction for reticle die-to-die inspections","authors":"Vincent Wen, L. R. Huang, C. J. Lin, Y. N. Tseng, W. H. Huang, L. Tuo, Mark P. Wylie, E. Chen, Elvik Wang, Joshua Glasser, Amrish Kelkar, David Wu","doi":"10.1117/12.2196931","DOIUrl":"https://doi.org/10.1117/12.2196931","url":null,"abstract":"Die-to-die reticle inspections are among the simplest and most sensitive reticle inspections because of the use of an identical-design neighboring-die for the reference image. However, this inspection mode can have two key disadvantages: (1) The location of the defect is indeterminate because it is unclear to the inspector whether the test or reference image is defective; and (2) nuisance and false defects from mask manufacturing noise and tool optical variation can limit the usable sensitivity. The use of a new sequencing approach for a die-to-die inspection can resolve these issues without any additional scan time, without sacrifice in sensitivity requirement, and with a manageable increase in computation load. In this paper we explore another approach for die-to-die inspections using a new method of defect processing and sequencing. Utilizing die-to-die double arbitration during defect detection has been proven through extensive testing to generate accurate placement of the defect in the correct die to ensure efficient defect disposition at the AIMS step. The use of this method maintained the required inspection sensitivity for mask quality as verified with programmed-defectmask qualification and then further validated with production masks comparing the current inspection approach to the new method. Furthermore, this approach can significantly reduce the total number of defects that need to be reviewed by essentially eliminating the nuisance and false defects that can result from a die-to-die inspection. This \"double-win\" will significantly reduce the effort in classifying a die-to-die inspection result and will lead to improved cycle times.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"4 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127627135","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Variations in programmed phase defect size and its impact on defect detection signal intensity using at-wavelength inspection system 程序相位缺陷尺寸的变化及其对波长检测系统缺陷检测信号强度的影响
SPIE Photomask Technology Pub Date : 2015-10-23 DOI: 10.1117/12.2197620
Tsuyoshi Amano, N. Takagi, Tsukasa Abe
{"title":"Variations in programmed phase defect size and its impact on defect detection signal intensity using at-wavelength inspection system","authors":"Tsuyoshi Amano, N. Takagi, Tsukasa Abe","doi":"10.1117/12.2197620","DOIUrl":"https://doi.org/10.1117/12.2197620","url":null,"abstract":"A programmed phase defect Extreme Ultraviolet (EUV) mask was fabricated and measurement repeatability of the defect size using a scanning probe microscope (SPM) was evaluated. The SPM measurement results indicated that the defect size variation as registered by the measurement repeatability were much smaller than the defect-to-defect variations. It means the defect-to-defect variation in size actually does exist. Some defects were found where their sizes before a multilayer coating (on quartz) were all the same but after the coat their sizes varied quite significantly when observed on the multilayer. This result indicated that it is difficult to estimate the phase defect size on quartz, whereas they can be accurately measured on multilayer. Influences of the defect size variation on defect detection signal intensity (DSI) using an actinic blank inspection (ABI) system were examined; their influences on the wafer printability were also examined. The DSI was strongly correlated with defect depth on the multilayer, and it was also indicated that the ABI can detect small variations in defect sizes. It was also confirmed that the impact of the phase defects on wafer printed CDs were proportional to the DSIs, and that the ABI has a potential to detect phase defect that could cause 5 % of the CD error when printing 16 nm dense lines.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"9 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124356109","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A fully model-based MPC solution including VSB shot dose assignment and shape correction 一个完全基于模型的MPC解决方案,包括VSB注射剂量分配和形状校正
SPIE Photomask Technology Pub Date : 2015-10-23 DOI: 10.1117/12.2199157
I. Bork, P. Buck, Murali Reddy, Bhardwaj Durvasula
{"title":"A fully model-based MPC solution including VSB shot dose assignment and shape correction","authors":"I. Bork, P. Buck, Murali Reddy, Bhardwaj Durvasula","doi":"10.1117/12.2199157","DOIUrl":"https://doi.org/10.1117/12.2199157","url":null,"abstract":"The value of using multiple dose levels for individual shots on VSB (Variable Shaped Beam) mask writers has been demonstrated earlier [1][2]. The main advantage of modulating dose on a per shot basis is the fact that higher dose levels can be used selectively for critical features while other areas of the mask with non-critical feature types can be exposed at lower dose levels. This reduces the amount of backscattering and mask write time penalty compared to a global overdose-undersize approach. While dose assignment to certain polygons or parts of polygons (VSB shots) can easily be accomplished via DRC rules on layers with limited shape variations like contact or VIA layers, it can be challenging to come up with consistent rules for layers consisting of a very broad range of shapes, generally found on metal layers. This work introduces a method for fully model-based modulation of shot dose for VSB machines supporting between two and eight dose levels and demonstrates results achieved with this method.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"4 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116465677","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:604180095
Book学术官方微信