J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, S. Migura, B. Kneer, J. Neumann, W. Kaiser
{"title":"EUV High-NA scanner and mask optimization for sub 8 nm resolution","authors":"J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, S. Migura, B. Kneer, J. Neumann, W. Kaiser","doi":"10.1117/12.2202258","DOIUrl":"https://doi.org/10.1117/12.2202258","url":null,"abstract":"EUV lithography for resolution below 8 nm half pitch requires the numerical aperture (NA) of the projection lens to be significantly larger than the current state-of-the-art 0.33NA. In order to be economically viable, a throughput in the range of 100 wafers per hour is needed. As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently the shadowing and the variation of the multi-layer reflectivity deteriorate the aerial image contrast to unacceptably low values at the current 4x magnification. The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that we have to double the magnification to 8x in order to overcome the shadowing effects. Assuming that the mask infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field size of current 0.33NA step and scan systems. This would reduce the throughput of the high-NA scanner to a value significantly below 100 wafers per hour unless additional measures are taken. This paper presents an anamorphic step and scan system capable to print fields that are half the field size of the current full field. The anamorphic system has the potential to achieve a throughput in excess of 150 wafers per hour by increasing the transmission of the optics as well as increasing the acceleration of the wafer stage and mask stage. This makes it an economically viable lithography solution. The proposed 4x/8x magnification is not the only logical solution. There are potentially other magnifications to increase the scanner performance while at the same time reducing the mask requirements.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"32 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-11-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131996386","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
T. Liang, J. Magana, K. Chakravorty, Eric M. Panning, Guojing Zhang
{"title":"EUV mask infrastructure readiness and gaps for TD and HVM","authors":"T. Liang, J. Magana, K. Chakravorty, Eric M. Panning, Guojing Zhang","doi":"10.1117/12.2202724","DOIUrl":"https://doi.org/10.1117/12.2202724","url":null,"abstract":"The industry is transitioning EUV lithography from feasibility phase to technology development. EUV mask infrastructure needs to be prepared to support the technology development and ready to enable the implementation of EUV lithography for production. In this paper, we review the current status and assess the readiness of key infrastructure modules in EUV mask fabrication, inspection and control, and usage in a mask cycle: blank quality and inspection, pattern inspection, defect disposition and repair, pellicle integration, and handling of pelliclized masks.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"36 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-11-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121562832","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
A. Shanker, M. Sczyrba, B. Connolly, L. Waller, A. Neureuther
{"title":"Absorber topography dependence of phase edge effects","authors":"A. Shanker, M. Sczyrba, B. Connolly, L. Waller, A. Neureuther","doi":"10.1117/12.2197861","DOIUrl":"https://doi.org/10.1117/12.2197861","url":null,"abstract":"Mask topography contributes to phase at the wafer plane, even for OMOG binary masks currently in use at the 22nm node in deep UV (193nm) lithography. Here, numerical experiments with rigorous FDTD simulation are used to study the impact of mask 3D effects on aerial imaging, by varying the height of the absorber stack and its sidewall angle. Using a thin mask boundary layer model to fit to rigorous simulations it is seen that increasing the absorber thickness, and hence the phase through the middle of a feature (bulk phase) monotonically changes the wafer-plane phase. Absorber height also influences best focus, revealed by an up/down shift in the Bossung plot (linewidth vs. defocus). Bossung plot tilt, however, responsible for process window variability at the wafer, is insensitive to changes in the absorber height (and hence also the bulk phase). It is seen to depend instead on EM edge diffraction from the thick mask edge (edge phase), but stays constant for variations in mask thickness within a 10% range. Both bulk phase and edge phase are also independent of sidewall angle fluctuation, which is seen to linearly affect the CD at the wafer, but does not alter wafer phase or the defocus process window. Notably, as mask topography varies, the effect of edge phase can be replicated by a thin mask model with 8nm wide boundary layers, irrespective of absorber height or sidewall angle. The conclusions are validated with measurements on phase shifting masks having different topographic parameters, confirming the strong dependence of phase variations at the wafer on bulk phase of the mask absorber.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"79 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-11-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126170595","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
T. Harada, H. Hashimoto, Tsuyoshi Amano, H. Kinoshita, Takeo Watanabe
{"title":"Phase imaging results of phase defect using micro coherent EUV scatterometry microscope","authors":"T. Harada, H. Hashimoto, Tsuyoshi Amano, H. Kinoshita, Takeo Watanabe","doi":"10.1117/12.2205304","DOIUrl":"https://doi.org/10.1117/12.2205304","url":null,"abstract":"To evaluate defects on extreme ultraviolet (EUV) masks at the blank state of manufacturing, we developed a micro coherent EUV scatterometry microscope (micro-CSM). The illumination source is coherent EUV light with a 140-nm focus diameter on the defect using a Fresnel zoneplate. This system directly observes the reflection and diffraction signals from a phase defect. The phase and the intensity image of the defect is reconstructed with the diffraction images using ptychography, which is an algorithm of the coherent diffraction imaging. We observed programmed phase defect on a blank EUV mask. Phase distributions of these programmed defect were well reconstructed quantitatively. The micro-CSM is very powerful tool to review an EUV phase defect.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"519 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-11-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123129021","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
K. Goldberg, M. Benk, A. Wojdyla, E. Verduijn, O. Wood, P. Mangat
{"title":"EUV actinic brightfield mask microscopy for predicting printed defect images","authors":"K. Goldberg, M. Benk, A. Wojdyla, E. Verduijn, O. Wood, P. Mangat","doi":"10.1117/12.2196966","DOIUrl":"https://doi.org/10.1117/12.2196966","url":null,"abstract":"Improving our collective understanding of extreme ultraviolet (EUV) photomask defects and the imaging properties of available defect imaging tools is essential for improving EUV mask defectivity, defect repair and mitigation, and for high-level strategic decision-making. In this work, we perform a qualitative comparison of twenty-five defects imaged with mask scanning electron microscopy (SEM), EUV actinic mask imaging, and wafer SEM imaging. All but two of the defect locations were first identified by non-actinic mask blank inspection, prior to patterning. The others were identified as repeating defects on the wafer. We find that actinic defect imaging is predictive of the wafer prints, with small-scale features clearly replicated. While some mask defect SEM images match the wafer prints, others print with a larger outline indicating the presence of sub-surface disruptions hidden from the SEM’s view. Fourteen other defects were subjected to an aerial image phase measurement method called Fourier Ptychography (FP). Although phase shifts were observed in the larger defects, the smaller defects in the dataset showed no significant phase shifting. We attribute this discrepancy to non-actinic mask blank inspection’s limited ability to detect small phase defects under normal operating conditions.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-11-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115319697","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Rene A. Claus, Yow-Gwo Wang, A. Wojdyla, M. Benk, K. Goldberg, A. Neureuther, P. Naulleau
{"title":"Examination of phase retrieval algorithms for patterned EUV mask metrology","authors":"Rene A. Claus, Yow-Gwo Wang, A. Wojdyla, M. Benk, K. Goldberg, A. Neureuther, P. Naulleau","doi":"10.1117/12.2197868","DOIUrl":"https://doi.org/10.1117/12.2197868","url":null,"abstract":"We evaluate the performance of several phase retrieval algorithms using through-focus aerial image measurements of patterned EUV photomasks. Patterns present a challenge for phase retrieval algorithms due to the high- contrast and strong diffraction they produce. For this study, we look at the ability to correctly recover phase for line-space patterns on an EUV mask with a TaN absorber and for an etched EUV multilayer phase shift mask. The recovered phase and amplitude extracted from measurements taken using the SHARP EUV microscope at Lawrence Berkeley National Laboratory is compared to rigorous, 3D electromagnetic simulations. The impact of uncertainty in background intensity, coherence, and focus on the recovered field is evaluated to see if the algorithms respond differently.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"44 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-11-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127820156","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Shao-Wen Chang, Tzu-Yi Wang, Ta-Cheng Lien, Chia-Jen Chen, Chihcheng Lin, Sin-Chang Lee, A. Yen
{"title":"Quantitative analysis of CD degradation induced by the fogging effect in e-beam lithography","authors":"Shao-Wen Chang, Tzu-Yi Wang, Ta-Cheng Lien, Chia-Jen Chen, Chihcheng Lin, Sin-Chang Lee, A. Yen","doi":"10.1117/12.2197838","DOIUrl":"https://doi.org/10.1117/12.2197838","url":null,"abstract":"In this paper, a quantitative method to analyze the effective range of fogging effect from massive data is presented. According to the calculated effective range, we use two approaches to correct the pattern-dependent CD error that come from e-beam writing. One is the fogging effect correction(FEC), which uses a Gaussian distributed model to describe FE. Second, we implement dosage modulation based on the assumption that the error caused by FE is linearly proportional to the pattern density of a mask. In summary, we are able to successfully predict the map of CD error for various layouts, and correct the error caused by FE in mask-making.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"220 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131731542","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"High-durability phase-shift film with variable transmittance","authors":"O. Nozawa, H. Shishido, Takenori Kajiwara","doi":"10.1117/12.2202596","DOIUrl":"https://doi.org/10.1117/12.2202596","url":null,"abstract":"In order to maintain the lithographic margin and to have sufficient image resolution, attenuated phase shift masks are widely used as a resolution enhancement technique. To improve the radiation durability of the phase shift film, we have developed low oxidation MoSi shifters, such as A6L2, as one option for improving radiation durability. But to provide the best radiation durability, we have developed a new approach eliminating the molybdenum from the phase shift film and introduced a Silicon-Nitride (Si-N) based attenuated phase shift film. Traditionally the transmittance of the phase shift layer is usually around 6%. In the case of a pure Si3N4 film, the transmittance with 180 degree phase shift is around 18%. But, by controlling film structure with a combination of Si-N the transmittance can be tuned to the customers desired transmission value for high durability Mo free attenuated phase shift films.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"214 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123233187","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"The study of phase effects in EUV mask pattern defects","authors":"Yow-Gwo Wang, A. Neureuther, P. Naulleau","doi":"10.1117/12.2197769","DOIUrl":"https://doi.org/10.1117/12.2197769","url":null,"abstract":"In this paper, we present a detail study of the impact of material-induced phase effect on the EUV mask absorber defect through-focus behavior. Illumination, material properties, and defect size are shown to have different impacts on the behavior. Also, we study the possibility of using alternative absorber materials to reduce the phase effects on the defect. Based on the mask near field distribution, energy confinement and phase accumulation can be reduced with new absorber materials. The defect sensitivity is reduced and the peak signal position is closer to the best focus due to less material-induced phase. Moreover, the novel pupil engineering method can utilize the phase induced by the material to improve the defect sensitivity of absorber defect by adding lens phase shifts in the pupil plane. At least 29% enhancement of the absorber defect signal at focus can be achieved by optimum lens phase shifts.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"724 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132849023","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
J. Sturtevant, Rachit Gupta, Shumay Dou Shang, V. Liubich, J. Word
{"title":"Characterization and mitigation of relative edge placement errors (rEPE) in full-chip computational lithography","authors":"J. Sturtevant, Rachit Gupta, Shumay Dou Shang, V. Liubich, J. Word","doi":"10.1117/12.2196634","DOIUrl":"https://doi.org/10.1117/12.2196634","url":null,"abstract":"Edge placement error (EPE) was a term initially introduced to describe the difference between predicted pattern contour edge and the design target. Strictly speaking this quantity is not directly measurable in the fab, and furthermore it is not ultimately the most important metric for chip yield. What is of vital importance is the relative EPE (rEPE) between different design layers, and in the era of multi-patterning, the different constituent mask sublayers for a single design layer. There has always been a strong emphasis on measurement and control of misalignment between design layers, and the progress in this realm has been remarkable, spurned in part at least by the proliferation of multi-patterning which reduces the available overlay budget by introducing a coupling of alignment and CD errors for the target layer. In-line CD and overlay metrology specifications are typically established by starting with design rules and making certain assumptions about error distributions which might be encountered in manufacturing. Lot disposition criteria in photo metrology (rework or pass to etch) are set assuming worst case assumptions for CD and overlay respectively. For example poly to active overlay specs start with poly endcap design rules and make assumptions about active and poly lot average and across lot CDs, and incorporate general knowledge about poly line end rounding to ensure that leakage current is maintained within specification. This worst case guard banding does not consider specific chip designs, however and as we have previously shown full-chip simulation can elucidate the most critical \"hot spots\" for interlayer process variability comprehending the two-layer CD and misalignment process window. It was shown that there can be differences in X versus Y misalignment process windows as well as positive versus negative directional misalignment process windows and that such design specific information might be leveraged for manufacturing disposition and control schemes. This paper will further investigate examples of via-metal model-based analysis of CD and overlay errors. We will investigate both single patterning and double patterning. For single patterning, we show the advantage of contour to contour simulation over contour to target simulation, and how the addition of aberrations in the optical models can provide a more realistic PW window for edge placement errors. For double patterning, the interaction of 4 layer CD and misalignment errors is very complex, but we illustrate that not only can full-chip verification identify potential rEPE hotspots, the OPC engine can act to mitigate such hotspots and enlarge the overall combined CD-overlay rEPE process window.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"24 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115248662","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}