{"title":"ILP-based co-optimization of cut mask layout, dummy fill, and timing for sub-14nm BEOL technology","authors":"Kwangsoo Han, A. Kahng, Hyein Lee, Lutong Wang","doi":"10.1117/12.2199299","DOIUrl":"https://doi.org/10.1117/12.2199299","url":null,"abstract":"Self-aligned multiple patterning (SAMP), due to its low overlay error, has emerged as the leading option for 1D gridded back-end-of-line (BEOL) in sub-14nm nodes. To form actual routing patterns from a uniform \"sea of wires\", a cut mask is needed for line-end cutting or realization of space between routing segments. Constraints on cut shapes and minimum cut spacing result in end-of-line (EOL) extensions and non-functional (i.e. dummy fill) patterns; the resulting capacitance and timing changes must be consistent with signoff performance analyses and their impacts should be minimized. In this work, we address the co-optimization of cut mask layout, dummy fill, and design timing for sub-14nm BEOL design. Our central contribution is an optimizer based on integer linear programming (ILP) to minimize the timing impact due to EOL extensions, considering (i) minimum cut spacing arising in sub-14nm nodes; (ii) cut assignment to different cut masks (color assignment); and (iii) the eligibility to merge two unit-size cuts into a bigger cut. We also propose a heuristic approach to remove dummy fills after the ILP-based optimization by extending the usage of cut masks. Our heuristic can improve critical path performance under minimum metal density and mask density constraints. In our experiments, we study the impact of number of cut masks, minimum cut spacing and metal density under various constraints. Our studies of optimized cut mask solutions in these varying contexts give new insight into the tradeoff of performance and cost that is afforded by cut mask patterning technology options.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"485 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117280292","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
M. Chandrachood, M. Grimbergen, K. Yu, T. Leung, J. Tran, Jeff Chen, D. Bivens, R. Yalamanchili, R. Wistrom, T. Faure, Peter H. Bartlau, Shaun Crawford, Y. Sakamoto
{"title":"Photomask etch system and process for 10nm technology node and beyond","authors":"M. Chandrachood, M. Grimbergen, K. Yu, T. Leung, J. Tran, Jeff Chen, D. Bivens, R. Yalamanchili, R. Wistrom, T. Faure, Peter H. Bartlau, Shaun Crawford, Y. Sakamoto","doi":"10.1117/12.2199030","DOIUrl":"https://doi.org/10.1117/12.2199030","url":null,"abstract":"While the industry is making progress to offer EUV lithography schemes to attain ultimate critical dimensions down to 20 nm half pitch, an interim optical lithography solution to address an immediate need for resolution is offered by various integration schemes using advanced PSM (Phase Shift Mask) materials including thin e-beam resist and hard mask. Using the 193nm wavelength to produce 10nm or 7nm patterns requires a range of optimization techniques, including immersion and multiple patterning, which place a heavy demand on photomask technologies. Mask schemes with hard mask certainly help attain better selectivity and hence better resolution but pose integration challenges and defectivity issues. This paper presents a new photomask etch solution for attenuated phase shift masks that offers high selectivity (Cr:Resist > 1.5:1), tighter control on the CD uniformity with a 3sigma value approaching 1 nm and controllable CD bias (5-20 nm) with excellent CD linearity performance (<5 nm) down to the finer resolution. The new system has successfully demonstrated capability to meet the 10 nm node photomask CD requirements without the use of more complicated hard mask phase shift blanks. Significant improvement in post wet clean recovery performance was demonstrated by the use of advanced chamber materials. Examples of CD uniformity, linearity, and minimum feature size, and etch bias performance on 10 nm test site and production mask designs will be shown.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"50 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132866364","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
R. Hirano, M. Hatakeyama, K. Terao, Hidehiro Watanabe
{"title":"Detection capability enhancement with a learning system for PEM mask inspection tool","authors":"R. Hirano, M. Hatakeyama, K. Terao, Hidehiro Watanabe","doi":"10.1117/12.2196944","DOIUrl":"https://doi.org/10.1117/12.2196944","url":null,"abstract":"A learning system has been exploited for the mask inspection tool with the Projection Electron Microscope (PEM). The defect is identified by the PEM system using the \"defectivity\". The detection capability for hp11nm EUV masks is demonstrated. The learning system for PEM consists of the library of the registered defects. The learning system totally optimizes detection capability reconciling the previously registered defects and the newly registered defect. We have verified the effectiveness of the learning system. We can provide a user-friendly mask inspection system with the higher throughput by PEM and with the smaller cost of ownership by the development.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"16 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122250936","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Sungha Woo, H. Jang, Youngmo Lee, Sangpyo Kim, D. Yim
{"title":"A study on the factors that affect the advanced mask defect verification","authors":"Sungha Woo, H. Jang, Youngmo Lee, Sangpyo Kim, D. Yim","doi":"10.1117/12.2195850","DOIUrl":"https://doi.org/10.1117/12.2195850","url":null,"abstract":"Defect verification has become significantly difficult to higher technology nodes over the years. Traditional primary method of defect (include repair point) control consists of inspection, AIMS and repair steps. Among them, AIMS process needs various wafer lithography conditions, such as NA, inner/outer sigma, illumination shape and etc. It has a limit to analyze for every layer accurately because AIMS tool uses the physical aperture system. And it requires meticulous management of exposure condition and CD target value which change frequently in advanced mask. We report on the influence of several AIMS parameters on the defect analysis including repair point. Under various illumination conditions with different patterns, it showed the significant correlation in defect analysis results. It is able to analyze defect under certain error budget based on the management specification required for each layer. In addition, it provided us with one of the clues in the analysis of wafer repeating defect. Finally we will present 'optimal specification' for defect management with common AIMS recipe and suggest advanced mask process flow.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"21 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114260654","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Honggoo Lee, Sangjun Han, Won-Kwang Ma, Young-Sik Kim, N. Kwak, P. Böcker, David Deckers, W. Kou, M. Kupers, Kevin Ryan, Elliott McNamara, Gwang-Gon Kim, K. Sun, Young-Wan Lim, Jin-Moo Byun, J. Suh
{"title":"Reduction of in-lot overlay variation with integrated metrology, and a holistic control strategy","authors":"Honggoo Lee, Sangjun Han, Won-Kwang Ma, Young-Sik Kim, N. Kwak, P. Böcker, David Deckers, W. Kou, M. Kupers, Kevin Ryan, Elliott McNamara, Gwang-Gon Kim, K. Sun, Young-Wan Lim, Jin-Moo Byun, J. Suh","doi":"10.1117/12.2196879","DOIUrl":"https://doi.org/10.1117/12.2196879","url":null,"abstract":"As DRAM semiconductor manufacturing approaches high volume for 1x nm nodes with immersion lithography, an increased emphasis is being placed on reducing the influence of the systematic wafer-level contribution to the on-product overlay budget. The cost of the needed metrology has hitherto been challenging. However, it will be shown that the availability of fast, accurate diffraction based metrology integrated within the Lithography cluster can enable cost-effective solutions. Together with applications software we will use any relevant context information to optimize control of all exposure-tool actuators during lot processing, to deliver the needed on-product performance. Current process corrections typically are done based on feedback per lot and per exposure chuck. Wafers exposed on the same chuck, belonging to the same lot get exactly the same process corrections. In current HVM processing however, an important contribution to the wafer variation is the differences in processing of the individual wafers. These differences can be related to variations in the usage of the processing tools (e.g. different etch chambers). An extension of the process corrections from chuck-based to process-context based can help in reducing the systematic wafer-level variation. With Integrated Metrology the sampling of wafers through the lot can be adjusted to make sure all different processing-contexts are covered in the measurements. Finally, the impact on Litho process cycle time of the total metrology effort required to enable these performance improvements, will be evaluated, and a proposal will be made on the optimum strategy to enable high-volume manufacturing.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"28 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129316082","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Attenuated phase-shift mask (PSM) blanks for flat panel display","authors":"K. Kageyama, S. Mochizuki, H. Yamakawa, S. Uchida","doi":"10.1117/12.2196857","DOIUrl":"https://doi.org/10.1117/12.2196857","url":null,"abstract":"The fine pattern exposure techniques are required for Flat Panel display applications as smart phone, tablet PC recently. The attenuated phase shift masks (PSM) are being used for ArF and KrF photomask lithography technique for high end pattern Semiconductor applications. We developed CrOx based large size PSM blanks that has good uniformity on optical characteristics for FPD applications. We report the basic optical characteristics and uniformity, stability data of large sized CrOx PSM blanks.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"482 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129928679","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Jihwan Choi, Yongho Kim, Dongwook Lee, Ho-Yong Jung, S. Kim, D. Yim
{"title":"Investigation of scum type growing defects on attenuated PSM and its prevention","authors":"Jihwan Choi, Yongho Kim, Dongwook Lee, Ho-Yong Jung, S. Kim, D. Yim","doi":"10.1117/12.2196069","DOIUrl":"https://doi.org/10.1117/12.2196069","url":null,"abstract":"The abnormal growing defect (we called this defect 'scum haze defect') in the photomask which is generated during the wafer lithography process is very important issue on semiconductor industry. Because wafer yield loss could be caused by the mask CD variation and the transmittance loss due to the growing defects on the photomask, many studies have been done about the mechanism and the solution of the general type growing defects such as haze and Cr migration so far, However we still need to clarify some abnormal types of the growing defects such as scum haze defect. In this paper, we investigated the generation mechanism and prevention techniques of the scum haze defect on the attenuated phase shift mask. This defect composed of CrOx is caused by the increase of the accumulated exposure energy on photomask. This phenomenon is remarkably similar to the Cr migration on binary mask. But, the apparent difference is that this scum type defect is observed on the attenuated phase shift mask which mainly consists of MoSiON film, and it is difficult to control this defect because of its irregular generation characteristic. Additionally, this defect is not generally removed through the conventional wet cleaning process but it only could be removed by a kind of plasma treatment. In this study, the difference of generation mechanism between the scum haze defect and the general haze was discussed, and the optimal process for controlling scum haze defect in the mask manufacturing was described.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"69 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130911759","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Optical proximity correction for extreme ultra-violet mask with pellicle","authors":"Soo-Yeon Mo, In-Seon Kim, Hye-keun Oh","doi":"10.1117/12.2196975","DOIUrl":"https://doi.org/10.1117/12.2196975","url":null,"abstract":"Extreme ultraviolet (EUV) lithography is considered as one of the viable solutions for production of the next generation integrated devices. EUV mask defect control becomes more critical issue in order to sustain the quality of wafer fabrication process. Since pellicle is the essential component to prevent patterning deformations caused by particle defects on EUV mask[1-2], EUV OPC (optical proximity correction) that takes into account for pellicle effects on imaging quality is required for achieving better pattern fidelity and critical dimension control. In this study, image blurring effect induced by the EUV mask pellicle on mask pattern structures was investigated and it was found that the localized short-range OPC using commercial software performed as desired considering transmission intensity loss due to pellicle. For experiment, edge placement error differences of the same 2D logic patterns with 16 nm half pitch with and without pellicle were compared. Finally, a method was suggested how patterning throughput loss caused by the transmission loss can be compensated by EUV OPC, which may allow pellicle transmission even below 90%.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"20 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116974830","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
E. Gallagher, J. Vanpaemel, I. Pollentier, H. Zahedmanesh, C. Adelmann, C. Huyghebaert, R. Jonckheere, Jae Uk Lee
{"title":"Properties and performance of EUVL pellicle membranes","authors":"E. Gallagher, J. Vanpaemel, I. Pollentier, H. Zahedmanesh, C. Adelmann, C. Huyghebaert, R. Jonckheere, Jae Uk Lee","doi":"10.1117/12.2199076","DOIUrl":"https://doi.org/10.1117/12.2199076","url":null,"abstract":"EUV mask protection during handling and exposure remains a challenge for high volume manufacturing using EUV scanners. A thin, transparent membrane can be mounted above the mask pattern so that any particle that falls onto the front of the mask is held out of focus and does not image. The fluoropolymer membranes that are compatible with 193nm lithography absorb too strongly at the 13.5nm EUV exposure wavelength to be considered. Initially, the industry planned to expose EUV masks without any pellicle; however, the time and cost of fabricating and qualifying an EUV mask is simply too high to risk decimating wafer yield each time a particle falls onto the mask pattern. Despite the challenges of identifying a membrane for EUV, the industry has returned to the pellicle concept for protection. EUVL pellicles have been in development for more than a decade and reasonable options exist. Meeting all pellicle requirements is difficult, so this type of risk-mitigation effort is needed to ensure that there is a viable high-volume manufacturing option. This paper first reviews the desired membrane properties for EUVL pellicles. Next, candidate materials are introduced based on reported properties and compatibility with fabrication. Finally a set of candidate membranes are fabricated. These membranes are screened using a simplified set of tests to assess their suitability as an EUV pellicle. EUV transmission, film stress, and film durability data are included. The results are presented along with general guidelines for pellicle membrane properties for EUV manufacturing.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"36 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122345541","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Richard J. F. van Haren, H. E. Cekli, Jan Beltman, A. Pastol, F. Sundermann, M. Gatefait
{"title":"Higher order feed-forward control of reticle writing error fingerprints","authors":"Richard J. F. van Haren, H. E. Cekli, Jan Beltman, A. Pastol, F. Sundermann, M. Gatefait","doi":"10.1117/12.2197556","DOIUrl":"https://doi.org/10.1117/12.2197556","url":null,"abstract":"The understanding and control of the intra-field overlay budget becomes crucial particularly after the introduction of multi-patterning applications. The intra-field overlay budget is built-up out of many contributors, each with its own characteristic. Some of them are (semi-)static like the reticle writing error (RWE) fingerprint, the scanner lens fingerprint, or the intra-field processing signature. Others are more dynamic. Examples are reticle heating and lens heating due to the absorption of a small portion of the exposure light. Ideally, all overlay contributors that are understood and known could be taken out of the feed-back control loop and send as feed-forward corrections to the scanner. As a consequence, only non-correctable overlay residuals are measured on the wafer. In the current work, we have studied the possibility to characterize the reticle writing error fingerprint by an off-line position measurement tool and use this information to send feed-forward corrections to the ASML TWINSCANTM exposure tool. The current work is an extension of the work we published earlier. To this end, we have selected a reticle pair out of 50 production reticles that are used to manufacture a 28-nm technology device. These two reticles are special in the sense that the delta fingerprint contains a significant higher order RWE signature. While previously only the linear parameters were sent as feed-forward corrections to the ASML TWINSCANTM exposure tool, this time we additionally demonstrate the capability to correct for the non-linear terms as well. Since the concept heavily relies on the quality of the off-line mask registration measurements, a state-of-the-art reticle registration tool was chosen. Special care was taken to eliminate any effects of the tool induced shifts that may affect the quality of the measurements. The on-wafer overlay verification measurements were performed on an ASML YieldStar metrology tool as well as on a different vendor tool. In conclusion, we have extended and proven the concept of using off-line reticle registration measurements to enable higher order feed-forward corrections the ASML TWINSCANTM scanner. This capability has been verified by on-wafer overlay measurements. It is demonstrated that the RWE contribution in the overlay budget can be taken out of the feedback control loop and sent as feed-forward corrections instead. This concept can easily be extended when more scanner corrections become available.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"8 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-10-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127409414","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}