21st International Conference on VLSI Design (VLSID 2008)最新文献

筛选
英文 中文
A Robust Top-Down Dynamic Power Estimation Methodology for Delay Constrained Register Transfer Level Sequential Circuits 时延约束寄存器传输级顺序电路的鲁棒自顶向下动态功率估计方法
21st International Conference on VLSI Design (VLSID 2008) Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.56
Sriram Sambamurthy, J. Abraham, R. Tupuri
{"title":"A Robust Top-Down Dynamic Power Estimation Methodology for Delay Constrained Register Transfer Level Sequential Circuits","authors":"Sriram Sambamurthy, J. Abraham, R. Tupuri","doi":"10.1109/VLSI.2008.56","DOIUrl":"https://doi.org/10.1109/VLSI.2008.56","url":null,"abstract":"We present a top-down dynamic power estimation methodology for delay constrained sequential circuits. The methodology works at the register transfer level (RT-Level), and applies to both structural and behavioral descriptions of circuits. The average power consumption of a circuit varies with the worst case cycle-time or frequency of operation. As the cycle-time is reduced, the increase in the capacitance of the circuit due to technology mapping and optimization is captured by our technique at the RT-Level using the principles of logical effort. Switching activity is obtained at the RT-Level visible nodes through RT-Level functional simulation. This information is utilized to approximate the activities at the remaining nodes of the circuit and combined with capacitance to estimate dynamic power. Power estimation results for RT-Level sequential circuits indicate good accuracy (average error<10%) with respect to the reference values obtained by detailed gate-level power analysis. The power consumed by a circuit varies with the target library and technology. Our methodology is parameterizable and the results obtained for different target libraries at 0.18 mum TSMC and 0.13 mum UMC technologies are consistent, indicating the robustness of our technique. The applicability of our methodology in design frameworks consisting of bottom-up techniques is also discussed.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132269756","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Scan Delay Testing of Nanometer SoCs 纳米soc的扫描延迟测试
21st International Conference on VLSI Design (VLSID 2008) Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.134
A. Singh
{"title":"Scan Delay Testing of Nanometer SoCs","authors":"A. Singh","doi":"10.1109/VLSI.2008.134","DOIUrl":"https://doi.org/10.1109/VLSI.2008.134","url":null,"abstract":"Delay defects that degrade performance and cause timing related reliability failures are emerging to be a major concern in nanometer technologies. Extensive at-speed functional testing to screen out such defects can be prohibitively expensive. Scan based structural delay tests are being pursued as a possible cost effective solution to this problem. However, recent research indicates that several formidable challenges must be overcome before such an approach can be fully effective. These include poor delay test coverage, and inaccuracies in the observed circuit timing due to false paths, power supply noise, clock stretching etc. This tutorial aims at a comprehensive discussion of these challenges and proposed solutions, aided by data from recently published industrial studies from Intel, IBM. TI, Freescale, LSI Logic, and universities.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116423130","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Threshold Voltage Control through Multiple Supply Voltages for Power-Efficient FinFET Interconnects 通过多电源电压控制低功耗FinFET互连的阈值电压
21st International Conference on VLSI Design (VLSID 2008) Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.117
Anish Muttreja, Prateek Mishra, N. Jha
{"title":"Threshold Voltage Control through Multiple Supply Voltages for Power-Efficient FinFET Interconnects","authors":"Anish Muttreja, Prateek Mishra, N. Jha","doi":"10.1109/VLSI.2008.117","DOIUrl":"https://doi.org/10.1109/VLSI.2008.117","url":null,"abstract":"In modern circuits, interconnect efficiency is a central determinant of circuit efficiency. Moreover, as technology is scaled down, the importance of efficient interconnect design is increasing. In this paper, we explore an option for low-power interconnect synthesis at the 32 nm node and beyond, using fin-type field-effect transistors (FinFETs) which are a promising substitute for bulk CMOS at the considered gate lengths. We consider a previously-unexplored mechanism for improving FinFET efficiency, called threshold voltage control through multiple supply voltages (TCMS), which is significantly different from conventional multiple-supply voltage schemes. We develop a circuit design for a FinFET buffer using TCMS. We describe a variation of van Ginneken's classic dynamic programming framework for solving the problem of power-optimal TCMS buffer insertion on a given routing tree. We show that, on an average, TCMS can provide power savings of 50.41% and device area savings of 9.17% compared to a state-of-the-art dual-Vdd interconnect synthesis scheme.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129517472","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
Energy-Efficient, High Performance Circuits for Arithmetic Units 高能效、高性能算术单元电路
21st International Conference on VLSI Design (VLSID 2008) Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.49
S. Agarwal, K. PavankumarV., R. Yokesh
{"title":"Energy-Efficient, High Performance Circuits for Arithmetic Units","authors":"S. Agarwal, K. PavankumarV., R. Yokesh","doi":"10.1109/VLSI.2008.49","DOIUrl":"https://doi.org/10.1109/VLSI.2008.49","url":null,"abstract":"Adders and multipliers are the most important arithmetic units in a general microprocessor and the major source of power dissipation. Various architecture styles exist to implement these units, each having their own merits and demerits. However, due to continuing integrating intensity and growing needs of portable devices, low power design is of prime importance. In addition, much power is dissipated due to a large number of spurious transitions on internal nodes in power hungry multiplier structures. We present a new full adder structure based on complementary pass transistor logic (CPL) which is faster and more energy efficient than the existing structures. We also propose a new technique of implementing multiplier circuit using decomposition logic which improves speed and reduces power consumption by reducing the spurious transitions on internal nodes. Combined with the new adder structure and the decomposition logic, there is substantial improvement in the performance of the multiplier structures. With the help of these state of the art designs, it would be possible to design highly power efficient processors, especially digital signal processors. We have used TSPICE for simulation in the TSMC 180 nm technology.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127066225","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 42
Industry Standards from Accellera Accellera的行业标准
21st International Conference on VLSI Design (VLSID 2008) Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.123
S. Mehta
{"title":"Industry Standards from Accellera","authors":"S. Mehta","doi":"10.1109/VLSI.2008.123","DOIUrl":"https://doi.org/10.1109/VLSI.2008.123","url":null,"abstract":"Accellera's (www.accellera.orq) mission is to drive worldwide development and use of standards required by systems, semiconductors and design tools companies, which enhance a language based design automation process. Overview of different standards from Accellera and how they fit into the design flow process will be presented. Status of various technical sub-committees like Open Compression Interface (OCI), Unified Power Format (UPF), Unified Coverage Interoperatbility (UCIS) and Open Verification Library(OVL) will also be covered.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130536726","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A New Approach for Estimation of On-Resistance and Current Distribution in Power Array Layouts 功率阵列布局中导通电阻和电流分布估计的新方法
21st International Conference on VLSI Design (VLSID 2008) Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.87
J. Ghosh, S. Mukhopadhyay, A. Patra, B. Culpepper, Tawen Mei
{"title":"A New Approach for Estimation of On-Resistance and Current Distribution in Power Array Layouts","authors":"J. Ghosh, S. Mukhopadhyay, A. Patra, B. Culpepper, Tawen Mei","doi":"10.1109/VLSI.2008.87","DOIUrl":"https://doi.org/10.1109/VLSI.2008.87","url":null,"abstract":"This paper presents an accurate and fast technique for the estimation of on-resistance (RDS(on)) of large lateral power MOSFET switch layouts in on-chip DC-DC converter and determination of the current distribution pattern in the switch layouts. In the proposed approach an extracted netlist is created which consists of the lumped parasitic resistances formed in the metal interconnects and the MOS devices present in the layout. The extracted resistance values are computed from the metal geometry using models that relate resistance values to the geometric patterns in the layout. This approach exploits the highly symmetric and repetitive pattern of power MOSFET layouts to generate the resistance netlist efficiently. Similarly the modeling of very high W/L MOS finger channels is also described in this paper. Results from the numerical experiments show that the extracted resistances are within 2.6% of results obtained from standard FEM solver tool ANSYS.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132863809","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Optimal Dual-VT Design in Sub-100 Nanometer PDSOI and Double-Gate Technologies 亚100纳米PDSOI和双栅技术的最佳双vt设计
21st International Conference on VLSI Design (VLSID 2008) Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.50
A. Bansal, Jae-Joon Kim, Keunwoo Kim, S. Mukhopadhyay, C. Chuang, K. Roy
{"title":"Optimal Dual-VT Design in Sub-100 Nanometer PDSOI and Double-Gate Technologies","authors":"A. Bansal, Jae-Joon Kim, Keunwoo Kim, S. Mukhopadhyay, C. Chuang, K. Roy","doi":"10.1109/VLSI.2008.50","DOIUrl":"https://doi.org/10.1109/VLSI.2008.50","url":null,"abstract":"Dual-VT CMOS is an effective way to reduce leakage power in high-performance VLSI circuits. In this paper, we explore the technology design space for dual-threshold voltage transistor design in deep sub-100 nm technology nodes. We propose a technique of achieving high-VT devices - longer gate sidewall offset spacers to increase the channel length without increasing the printed gate length. Effectiveness of all the dual-VT technology options - increasing channel doping, increasing gate length and proposed technique of increasing spacer thickness - are analyzed at transistor to basic logic gate level. Results indicate that the proposed technique yields lower dynamic power consumption and lower performance penalty compared with longer gate length and high body doping devices. Our proposed technique, however, incurs extra fabrication mask similar to high-VT by increasing body doping.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131976306","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A Power Efficient Approach to Fault-Tolerant Register File Design 一种低功耗的容错寄存器文件设计方法
21st International Conference on VLSI Design (VLSID 2008) Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.53
Mojtaba Amiri-Kamalabad, S. Miremadi, M. Fazeli
{"title":"A Power Efficient Approach to Fault-Tolerant Register File Design","authors":"Mojtaba Amiri-Kamalabad, S. Miremadi, M. Fazeli","doi":"10.1109/VLSI.2008.53","DOIUrl":"https://doi.org/10.1109/VLSI.2008.53","url":null,"abstract":"Recently, the trade-off between power consumption and fault tolerance in embedded processors has been highlighted. This paper proposes an approach to reduce dynamic power of conventional high-level fault-tolerant techniques used in the register file of processors, without affecting the effectiveness of the fault-tolerant techniques. The power reduction is based on the reduction of dynamic power of the unaccessed parts of the register file. This approach is applied to three transient fault-tolerant techniques: single error correction (SEC) Hamming code, duplication with parity, and triple modular redundancy (TMR). As a case study, this approach is implemented on the register file of an OpenRISC 1200 processor. The experimental calculation of the power consumption shows that the proposed approach saves about 67%, 62%, and 58% power for TMR, duplication with parity, and SEC Hamming code, respectively.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131006686","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
An Inversion-Based Synthesis Approach for Area and Power Efficient Arithmetic Sum-of-Products 一种基于反演的面积与功耗高效算术积和综合方法
21st International Conference on VLSI Design (VLSID 2008) Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.18
Sabyasachi Das, S. Khatri
{"title":"An Inversion-Based Synthesis Approach for Area and Power Efficient Arithmetic Sum-of-Products","authors":"Sabyasachi Das, S. Khatri","doi":"10.1109/VLSI.2008.18","DOIUrl":"https://doi.org/10.1109/VLSI.2008.18","url":null,"abstract":"In state-of-the-art digital signal processing (DSP) and graphics applications, the arithmetic sum-of-product (SOP) is an important and computationally intensive operation, consuming a significant amount of area, delay and power. This paper presents a new algorithmic approach to synthesize a non-timing critical SOP block in an area-efficient and power-efficient way, which can be very useful to reduce the size and power consumption of the non timing-critical portion in the design. We have divided the problem of generating the SOP into three parts: inversion-based creation of the BitClusters (sets of individual partial-product bits, which belong to the ith bitslice), propagation-based reduction of the BitClusters and selective-inversion based computation of the final sum result. Techniques used in these three steps help to reduce the implementation area and power consumption for the SOP block. Our experimental data shows that the SOP block generated by our approach is significantly smaller (8.59% on average) and marginally faster (0.42% on average) than the SOP block generated by a commercially available best-in-class datapath synthesis tool. In addition, our proposed SOP netlist consumes significantly less dynamic power (7.92% on average) and leakage power (5.65% on average) than the netlist generated by the synthesis tool. These improvements were verified on placed-and-routed designs as well.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122221972","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A Modeling of a Dynamically Reconfigurable Processor Using SystemC 基于SystemC的动态可重构处理器建模
21st International Conference on VLSI Design (VLSID 2008) Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.13
J. Kitamichi, K. Ueda, Kenichi Kuroda
{"title":"A Modeling of a Dynamically Reconfigurable Processor Using SystemC","authors":"J. Kitamichi, K. Ueda, Kenichi Kuroda","doi":"10.1109/VLSI.2008.13","DOIUrl":"https://doi.org/10.1109/VLSI.2008.13","url":null,"abstract":"Recently, dynamically reconfigurable processors (DRPs) have been proposed. In this paper, we describe a model of a DRP using a dynamic module library (DML), which we have developed for the modeling of general-purpose dynamically reconfigurable systems. The DML is an extended SystemC library and enables the modeling of the dynamic generation and elimination of modules, ports and channels and the dynamic connection and dispatch between port and channel. Using the DML, we can model the DRP naturally. The architecture of the proposed DRP is based on an MlPS-type architecture and supports the instructions, which are for the dynamically reconfigurable operational units and for their generation and elimination. We describe the proposed DRP model and its evaluation results.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131174698","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信