2016 20th International Symposium on VLSI Design and Test (VDAT)最新文献

筛选
英文 中文
Data dependent spurious power reduction for fixed width multiplier 固定宽度乘法器的数据相关杂散功率降低
2016 20th International Symposium on VLSI Design and Test (VDAT) Pub Date : 2016-05-01 DOI: 10.1109/ISVDAT.2016.8064898
Bharti Navlani, P. Joshi, R. Deshmukh
{"title":"Data dependent spurious power reduction for fixed width multiplier","authors":"Bharti Navlani, P. Joshi, R. Deshmukh","doi":"10.1109/ISVDAT.2016.8064898","DOIUrl":"https://doi.org/10.1109/ISVDAT.2016.8064898","url":null,"abstract":"Digital Signal Processing (DSP) algorithms use multiplication as a most frequent operation. Hence multipliers are said to be dominant role players in the performance matrix of DSP application. The Fixed Width Multiplier (FWM) architecture in DSP application, itself are power efficient, most of the related work is reported on error correction. This paper extends the work of one of the bests papers reported on error correction for power reduction of biomedical signal processing applications. We achieve this by reducing the dynamic power in the retained elements in the FWM using bypassing techniques. The decision of augmenting a bypassing and error correcting circuit of a FWM is taken based on the column wise probability analysis carried out on partial product array of FWM. We observed this probability lies in between 0.1 to 0.4. Hence this best reported error optimized architecture is applied with bypassing technique. We observe the 13.9% of improved power performance using this technique.","PeriodicalId":301815,"journal":{"name":"2016 20th International Symposium on VLSI Design and Test (VDAT)","volume":"72 42","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134197183","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Approximate conditional carry adder for error tolerant applications 用于容错应用的近似条件进位加法器
2016 20th International Symposium on VLSI Design and Test (VDAT) Pub Date : 2016-05-01 DOI: 10.1109/ISVDAT.2016.8064867
Avishek Sinha Roy, N. Prasad, A. Dhar
{"title":"Approximate conditional carry adder for error tolerant applications","authors":"Avishek Sinha Roy, N. Prasad, A. Dhar","doi":"10.1109/ISVDAT.2016.8064867","DOIUrl":"https://doi.org/10.1109/ISVDAT.2016.8064867","url":null,"abstract":"Conditional carry adder has the advantage of best delay characteristics compared to other fast adders. This article presents approximate models of conditional carry adder (CCA), suitable in considering for error tolerant applications. Four approximate models (Approx1, Approx2, Approx3, and Approx4) with different levels of approximation have been proposed, which can be extended further to an n-level approximate model. Bit probabilities at outputs of the internal gates have been considered in deducing the approximate models of the circuit. Exclusive analysis has been done for an 8-bit conditional carry adder, whose deductions can be considered for the adder of any size. Implementation results on ASIC platform have shown an improvement of 15.833% and 14.256% in terms of area and operating speed, and 20.228% and 38.918% in terms of power-delay product and energy-delay product, when compared with exact CCA, respectively.","PeriodicalId":301815,"journal":{"name":"2016 20th International Symposium on VLSI Design and Test (VDAT)","volume":"23 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133224459","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Design and measurement techniques for a low noise amplifier in a receiver chain for MedRadio spectrum of 401–406 MHz frequency band 用于401-406 MHz频段的MedRadio接收机链中的低噪声放大器的设计和测量技术
2016 20th International Symposium on VLSI Design and Test (VDAT) Pub Date : 2016-05-01 DOI: 10.1109/ISVDAT.2016.8064846
Abhishek Srivastava, Nithin Sankar, K. K. Rakesh, Baibhab Chatterjee, D. Das, M. Baghini
{"title":"Design and measurement techniques for a low noise amplifier in a receiver chain for MedRadio spectrum of 401–406 MHz frequency band","authors":"Abhishek Srivastava, Nithin Sankar, K. K. Rakesh, Baibhab Chatterjee, D. Das, M. Baghini","doi":"10.1109/ISVDAT.2016.8064846","DOIUrl":"https://doi.org/10.1109/ISVDAT.2016.8064846","url":null,"abstract":"This work presents design and practical techniques to measure specifications of a low noise amplifier (LNA) in a complete receiver chain at Medical Device Radio Communication (MedRadio) band in 401–406 MHz frequency range. Supported by detailed quantitative explanations, the proposed measurement methods help in characterizing the LNA without duplicating it on the chip only for the characterization and promises to save experimental time and cost. A common source inductively degenerated LNA has been designed and fabricated in 180 nm mixed mode CMOS technology. The measurement results show that the LNA consumes 700 μW power while giving a voltage gain of 31 dB, S11<-14 dB and noise figure of 5.8 dB at 400 MHz frequency. Complete receiver chain measurements with this LNA confirms the results from the proposed methods.","PeriodicalId":301815,"journal":{"name":"2016 20th International Symposium on VLSI Design and Test (VDAT)","volume":"65 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131003671","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
An 8-bit 500 MSPS segmented current steering DAC using Chinese abacus technique 一种采用中国算盘技术的8位500 MSPS分段电流转向DAC
2016 20th International Symposium on VLSI Design and Test (VDAT) Pub Date : 2016-05-01 DOI: 10.1109/ISVDAT.2016.8064903
S. Khandagale, Santanu Sarkar
{"title":"An 8-bit 500 MSPS segmented current steering DAC using Chinese abacus technique","authors":"S. Khandagale, Santanu Sarkar","doi":"10.1109/ISVDAT.2016.8064903","DOIUrl":"https://doi.org/10.1109/ISVDAT.2016.8064903","url":null,"abstract":"This paper proposes the design of an 8-bit segmented current steering (CS) digital to analog converter (DAC), which uses Chinese abacus technique to improve both static and dynamic performances. Chinese abacus DAC is simple, occupies less area and shows better linearity compared to binary DAC. In conventional segmented CS architecture, the MSB is implemented in unary and LSB is implemented in binary. In this design the binary section of segmented architecture is replaced by Chinese abacus sub-DAC to minimize noise i.e., glitch energy and to improve the spurious performance. The modified CS architecture is segmented as 4+4 to achieve optimum performance and to minimise area. The four most significant bits (MSBs) and four least significant bits (LSBs) are implemented in unary and Chinese abacus sub-DAC, respectively. The proposed 8-bit segmented CS-DAC schematic has been designed and simulated in UMC 0.18 μm CMOS process technology. The proposed CS-DAC shows better SFDR over conventional DAC. The DAC consumes only 4.63 mW of power at 103.5 MHz input signal. The proposed design could be used as ready-made IP in GSM transmitter.","PeriodicalId":301815,"journal":{"name":"2016 20th International Symposium on VLSI Design and Test (VDAT)","volume":"90 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128686706","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Pre-layout module wise decap allocation for noise suppression and accurate delay estimation of SoC 预先布局模块明智的decap分配,以抑制SoC的噪声和准确的延迟估计
2016 20th International Symposium on VLSI Design and Test (VDAT) Pub Date : 2016-05-01 DOI: 10.1109/ISVDAT.2016.8064873
Moumita Chakraborty, A. Chakrabarti, P. Mitra, Debasri Saha, Krishnendu Guha
{"title":"Pre-layout module wise decap allocation for noise suppression and accurate delay estimation of SoC","authors":"Moumita Chakraborty, A. Chakrabarti, P. Mitra, Debasri Saha, Krishnendu Guha","doi":"10.1109/ISVDAT.2016.8064873","DOIUrl":"https://doi.org/10.1109/ISVDAT.2016.8064873","url":null,"abstract":"This paper addresses estimation of decoupling capacitance (decap) at sub-module stage based on their power dissipation and proper allocation of decap at the pre-layout level. Decap being in between power and ground distribution networks acts as local charge storage and effectively reduces rapid transients in the supply drop. Therefore, present trends in VLSI design are inclined towards the placement of decoupling capacitors for system on chip (SoC) design. But, early prediction and allocation of decaps at appropriate locations in the pre-layout circuit can only provide a better scope in optimizing power, noise and delay effects for the circuit. The novelty of our work lies in exhaustive module wise estimation of di/dt drop for the complete circuit, followed by an algorithmic estimation and appropriate allocation of decaps with an effort to keep power, delay and noise performance to its best. We choose Double DES as example crypto-core for our test circuits as this is quite complex in nature and are also used as custom cores in many SoC applications. We investigate the change in power, noise and delay parameters with and without the decap allocation for multi-core circuits at the pre-layout stage and find satisfactory suppression of noise at the cost of negligible increase in power and delay. By using our approach, average peak noise and maximum peak noise can be suppressed approximately by 22.7% and 32.23% respectively at the pre-layout stage comparing with the previous works. This early prediction helps in more accurate Computer Aided Design (CAD) implementation at the layout stage.","PeriodicalId":301815,"journal":{"name":"2016 20th International Symposium on VLSI Design and Test (VDAT)","volume":"8 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125231166","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Reducing FIFO buffer power using architectural alternatives at RTL 在RTL中使用架构替代方案降低FIFO缓冲区功率
2016 20th International Symposium on VLSI Design and Test (VDAT) Pub Date : 2016-05-01 DOI: 10.1109/ISVDAT.2016.8064897
Ashish Sharma, Ruby Ansar, M. Gaur, Lava Bhargava, V. Laxmi
{"title":"Reducing FIFO buffer power using architectural alternatives at RTL","authors":"Ashish Sharma, Ruby Ansar, M. Gaur, Lava Bhargava, V. Laxmi","doi":"10.1109/ISVDAT.2016.8064897","DOIUrl":"https://doi.org/10.1109/ISVDAT.2016.8064897","url":null,"abstract":"NoC has a significant impact on the power, area and performance of multi-core architectures. The contribution of NoC in the total power budget of a CMP is approximately 30 to 40% [1], and the input buffers of router consume most of it. Therefore, the designers need to design a low power communication architecture of NoC by reducing the power consumption of buffers. In the existing techniques, virtual channel buffer power has been optimized by employing buffer sharing, power gating with flexible virtual channels and Dynamic Voltage Frequency Scaling (DVFS). In this paper, we have proposed a) Routing Logic enabled clock gating at input channel buffers b) Further, we applied the clock gating on FPGA slices on our proposed design. Our approach provides a significant improvement in FIFO buffer power in 2D NoC as the FIFO power is optimized by 10.70%. Furthermore, 37% of improvement in dynamic power has been achieved by applying clock gating on slices and block RAM on our proposed routing logic enabled input channel buffers clock gating technique.","PeriodicalId":301815,"journal":{"name":"2016 20th International Symposium on VLSI Design and Test (VDAT)","volume":"6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132046803","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Quantification of figures of merit of 7T and 8T SRAM cells in subthreshold region and their comparison with the conventional 6T SRAM cell 7T和8T SRAM电池在阈下区域的性能量化及其与常规6T SRAM电池的比较
2016 20th International Symposium on VLSI Design and Test (VDAT) Pub Date : 2016-05-01 DOI: 10.1109/ISVDAT.2016.8064899
Pulkit Sharma, R. Anusha, K. Bharath, Jasmine Kaur Gulati, Preet K. Walia, S. Darak
{"title":"Quantification of figures of merit of 7T and 8T SRAM cells in subthreshold region and their comparison with the conventional 6T SRAM cell","authors":"Pulkit Sharma, R. Anusha, K. Bharath, Jasmine Kaur Gulati, Preet K. Walia, S. Darak","doi":"10.1109/ISVDAT.2016.8064899","DOIUrl":"https://doi.org/10.1109/ISVDAT.2016.8064899","url":null,"abstract":"The need for low-power SRAM results in many design challenges in deep submicron technology. In this paper, 6T, 7T and 8T SRAM cells designed in 65nm bulk CMOS technology in the subthreshold region have been compared on the basis of various Figures of Merit (FoMs). The 7T and 8T SRAM cells are able to work at 200mV with 8T exhibiting highest Read Static Noise Margin (RSNM), Hold Static Noise Margin (HSNM) and Write Noise Margin (WNM). All cells result in low leakage in the subthreshold region. Statistical Analysis has been carried out to examine the effect on RSNM due to on-die parametric fluctuations.","PeriodicalId":301815,"journal":{"name":"2016 20th International Symposium on VLSI Design and Test (VDAT)","volume":"8 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126968443","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Temperature dependent IR-drop and delay analysis in side-contact multilayer graphene nanoribbon based power interconnects 基于侧接触多层石墨烯纳米带的电源互连中温度相关的红外降和延迟分析
2016 20th International Symposium on VLSI Design and Test (VDAT) Pub Date : 2016-05-01 DOI: 10.1109/ISVDAT.2016.8064891
S. Bhattacharya, Debaprasad Das, H. Rahaman
{"title":"Temperature dependent IR-drop and delay analysis in side-contact multilayer graphene nanoribbon based power interconnects","authors":"S. Bhattacharya, Debaprasad Das, H. Rahaman","doi":"10.1109/ISVDAT.2016.8064891","DOIUrl":"https://doi.org/10.1109/ISVDAT.2016.8064891","url":null,"abstract":"In this paper, we have analyzed the temperature dependent average IR-Drop and delay of side-contact multi-layer graphene nanoribbon (MLGNR) based power interconnects. The above analysis has been performed using our previously developed model using 16nm ITRS technology node. For a temperature ranges from 150K to 450K, the variation of resistance of MLGNR interconnect is ∼2–5× lesser than that of traditional copper based power interconnects. Our analysis shows that MLGNR based power interconnects can show ∼2–3× reduction in average IR-drop and ∼1.5–2.72× reduction in delay as compared with copper based interconnects for local, intermediate and global interconnects.","PeriodicalId":301815,"journal":{"name":"2016 20th International Symposium on VLSI Design and Test (VDAT)","volume":"385 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115901245","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Golden IC free methodology for hardware Trojan detection using symmetric path delays 使用对称路径延迟的硬件木马检测的黄金IC自由方法
2016 20th International Symposium on VLSI Design and Test (VDAT) Pub Date : 2016-05-01 DOI: 10.1109/ISVDAT.2016.8064895
Ramakrishna Vaikuntapu, Lava Bhargava, V. Sahula
{"title":"Golden IC free methodology for hardware Trojan detection using symmetric path delays","authors":"Ramakrishna Vaikuntapu, Lava Bhargava, V. Sahula","doi":"10.1109/ISVDAT.2016.8064895","DOIUrl":"https://doi.org/10.1109/ISVDAT.2016.8064895","url":null,"abstract":"Hardware Trojans can be inserted by an adversary at any phase of IC manufacturing. In this paper, a methodology is proposed to detect Trojans inserted after design sign-off i.e the Trojan insertion occurs at layout level. In such attack models, golden IC are not always available in all cases, thus requiring golden IC free detection methodologies. This work exploits the concept of symmetric path delays to detect Trojans, considering the change in delays of symmetric pairs due to Trojan insertion. We propose detection metric (DM) of a suspect IC and compare the same with a detection threshold (DT) to decide whether IC under purview is Trojan free. Moreover, this method does not require any golden IC. Additionally, this method is robust enough against process variation effects. Simulation results establish that, a detection rate of 100% is achievable with maximum of 8% intra-die and 10% inter-die variation in both threshold voltage (Vth) and length (L), respectively.","PeriodicalId":301815,"journal":{"name":"2016 20th International Symposium on VLSI Design and Test (VDAT)","volume":"31 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114724852","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
A novel low power 6-bit FLASH ADC using charge steering amplifier for RF applications 一种新颖的低功耗6位FLASH ADC,采用电荷转向放大器用于射频应用
2016 20th International Symposium on VLSI Design and Test (VDAT) Pub Date : 2016-05-01 DOI: 10.1109/ISVDAT.2016.8064904
K. K. Movva, Syed Azeemuddin
{"title":"A novel low power 6-bit FLASH ADC using charge steering amplifier for RF applications","authors":"K. K. Movva, Syed Azeemuddin","doi":"10.1109/ISVDAT.2016.8064904","DOIUrl":"https://doi.org/10.1109/ISVDAT.2016.8064904","url":null,"abstract":"In this paper we present a novel low power 6-bit Flash analog-to-digital converter design using charge steering amplifier for RF applications. The architecture and performance of the designed ADC is described in detail and compared with conventional and other Flash ADCs. The proposed design offers lower power consumption by using a charge-steering amplifier based comparator; the power supply voltage is 0.7 V minimum which makes this design adaptable to wide variety of RF based System-on-Chip (SoC) applications. The ADC is designed in 28nm standard CMOS process with operating sampling frequency of 1GS/s and the performance parameters DNL and INL are ±0.3 LSB and ±0.35 LSB respectively, spurious-free dynamic range (SFDR) is 39 dB, signal-to-noise and distortion ratio (SNDR) is 37.15 dB, effective number of bits (ENOB) is 5.88 bits, power consumption is 3.57 mW @ 0.7 V supply voltage and FOM is 60.6 fJ/conversion-step.","PeriodicalId":301815,"journal":{"name":"2016 20th International Symposium on VLSI Design and Test (VDAT)","volume":"12 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128609919","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信