Advanced Lithography最新文献

筛选
英文 中文
Defectivity reduction in EUV resists through novel high-performance Point-Of-Use (POU) filters 通过新型高性能使用点(POU)滤波器降低EUV电阻的缺陷
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2660389
Yiren Zhang, T. Umeda, Hirokazu Sakakibara, S. A. U. Ibrahim, Atsushi Sakamoto, Amarnauth Singh, R. Shick, K. Skjonnemand, P. Foubert, Waut Drent
{"title":"Defectivity reduction in EUV resists through novel high-performance Point-Of-Use (POU) filters","authors":"Yiren Zhang, T. Umeda, Hirokazu Sakakibara, S. A. U. Ibrahim, Atsushi Sakamoto, Amarnauth Singh, R. Shick, K. Skjonnemand, P. Foubert, Waut Drent","doi":"10.1117/12.2660389","DOIUrl":"https://doi.org/10.1117/12.2660389","url":null,"abstract":"Filters for Extreme Ultra-Violet (EUV) lithography chemicals, like chemically amplified photoresist (CAR), are attractive because of their capabilities to remove aggregated species and reduce microbridges in high volume manufacturing. Unlike bulk filters used in high-flow circulation mode, point-of-use (POU) filter is used in single-pass mode, so the retention performance and cleanliness become the most critical factors. Earlier presentations have demonstrated the benefit of reducing on-wafer defectivities through filtration of EUV photoresists with the state-of-the-art HDPE membranes filters, Pall® sub-1nm HDPE (XPR3L). In this study, we present a novel HDPE filter specifically designed to provide high retention performance, which is mainly enabled by an improvement in retention characteristics of membrane and cleanliness in finished POU filters. The membrane was designed to have a finer pore size and better pore geometry to improve defect retention. To expedite the filter start-up process, optimized device cleaning process was applied to further improve initial cleanliness, which was indicated by GC-MS, LC-MS/MS and ICP-MS measurements, etc. Finally, the POU filters were evaluated at imec EUV cluster consisting of TEL CleanTrack™ LITHIUS Pro™-Z and ASML NXE:3400B, and comparative defect data was obtained from patterned wafers with 16nm L/S.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128926209","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Coater/developer-based techniques to achieve tight pitches towards high-NA EUV 基于涂层/显影剂的技术,以实现高na EUV的紧密间距
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657290
Kanzo Kato, L. Huli, N. Antonovich, D. Hetzer, Steven Grzeskowiak, E. Liu, Akiteru Ko, S. Shimura, S. Kawakami, T. Kitano, S. Nagahara, Luciana Meli, I. Seshadri, M. Burkhardt, K. Petrillo
{"title":"Coater/developer-based techniques to achieve tight pitches towards high-NA EUV","authors":"Kanzo Kato, L. Huli, N. Antonovich, D. Hetzer, Steven Grzeskowiak, E. Liu, Akiteru Ko, S. Shimura, S. Kawakami, T. Kitano, S. Nagahara, Luciana Meli, I. Seshadri, M. Burkhardt, K. Petrillo","doi":"10.1117/12.2657290","DOIUrl":"https://doi.org/10.1117/12.2657290","url":null,"abstract":"As the semiconductor industry continues to push the limits of integrated circuit fabrication, reliance on extreme ultraviolet lithography (EUVL) has increased. Additionally, it has become clear that new techniques and methods are needed to mitigate pattern defectivity and roughness at lithography and etching and eliminate film-related defects. These approaches require further improvements to the process chemicals and the lithography process equipment to achieve finer patterns [1]. This paper reviews the ongoing progress in coater/developer processes to enable EUV patterning with sub-30 nm line and space and sub-40 nm pillars by using metal oxide resist (MOR). We show that combining new material with optimized illumination and processes helped reduce the minimum critical dimension size, defectivity, and roughness","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127893711","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Positive tone i-line photoresist with controlled undercut profile for advanced packaging 正色调i线光刻胶与控制下切轮廓先进的包装
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657694
Walter Liu, C. Chen, PingHung Lu, S. Lai, Y. Sakurai
{"title":"Positive tone i-line photoresist with controlled undercut profile for advanced packaging","authors":"Walter Liu, C. Chen, PingHung Lu, S. Lai, Y. Sakurai","doi":"10.1117/12.2657694","DOIUrl":"https://doi.org/10.1117/12.2657694","url":null,"abstract":"With the progress of advanced packaging, the RDL metal line and μBump stability and super electrical performance were highly concerned. The photoresist with undercut profile is required to obtain the RDL metal line and μBump with footing. The AZ® 3DT-400 series formulation is a chemically amplified positive-tone i-line photoresist developed by EMD Electronics which a special additive was introduced to generate the undercut profile. The undercut size and shape can be adjusted with the loading of the additive. After optimizing the formulation, the desired undercut length larger than 10% of the target CD and the undercut height less than 10% of target CD were achieved.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127915898","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Potential of biomass EUV non-CAR type resist for high-NA EUV lithography 生物质EUV非car型抗蚀剂用于高na EUV光刻的潜力
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657938
Kazuyo Morita, Yasuaki Tanaka, Yuji Tanaka, M. Asai
{"title":"Potential of biomass EUV non-CAR type resist for high-NA EUV lithography","authors":"Kazuyo Morita, Yasuaki Tanaka, Yuji Tanaka, M. Asai","doi":"10.1117/12.2657938","DOIUrl":"https://doi.org/10.1117/12.2657938","url":null,"abstract":"A biomass EUV non-CAR type resist is proposed as a sustainable material for reducing CO2 emissions. It was demonstrated that HP 8 nm L/S pattern formation is required for a 1.5 nm node device with a biomass EUV resist. Furthermore, two approaches for improving the EUV lithography performance of biomass EUV resists are proposed: resist structure and lithography process improvement. The pattern quality was improved upon improving the resist structure. In addition, the lithography process [pre-exposure metal infiltration (PreMi) process and the new lithography process] improved the sensitivity of the biomass EUV resist. This proves that the biomass EUV resist can be used in high-NA EUV lithography at 8 nm.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124348385","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Mask errors impact on grayscale lithography patterning 掩模错误对灰度光刻图版的影响
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657600
U. Palanchoke, Gaby Bélot, S. Bérard-Bergery, Juline Saugnier, E. Sungauer, C. Beylier, F. Tomaso, M. Pourteau, Ivanie Mendes, R. Coquand, A. Bernadac
{"title":"Mask errors impact on grayscale lithography patterning","authors":"U. Palanchoke, Gaby Bélot, S. Bérard-Bergery, Juline Saugnier, E. Sungauer, C. Beylier, F. Tomaso, M. Pourteau, Ivanie Mendes, R. Coquand, A. Bernadac","doi":"10.1117/12.2657600","DOIUrl":"https://doi.org/10.1117/12.2657600","url":null,"abstract":"Impact of mask CD errors on microlens and pillar structures fabricated using grayscale lithography technique is studied. CD errors were evaluated from the mask SEM images using contour based metrology. Mask error enhancement factor for grayscale lithography is proposed based on mask (or design) chromium density for given 3D structure to be patterned. Impact of mean-to-target CD mask error and local CD variations on target critical parameters were studied separately. For grayscale lithography, the global mask error enhancement factor calculated to study impact of mask CD errors were found to be non linear and highly dependent on the mask (or layout) chromium density. Surface topography of given grayscale target was found to be highly dependent on the local CD variations. We also found that intentional local CD variation can be used to effectively tune certain target parameters.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124443531","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Continuous large area oxide printing from liquid metals 液态金属连续大面积氧化印刷
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657566
M. Vong, Minsik Kong, Unyong Jeong, M. Dickey
{"title":"Continuous large area oxide printing from liquid metals","authors":"M. Vong, Minsik Kong, Unyong Jeong, M. Dickey","doi":"10.1117/12.2657566","DOIUrl":"https://doi.org/10.1117/12.2657566","url":null,"abstract":"Amorphous metal oxides (AMO) are a class of semiconducting materials that show promising application in optoelectronics because of their high carrier mobility and optical transparency. By alloying with other metallic species and regulating the oxygen vacancies, the carrier mobility, and the optical bandgap energy of AMOs can be modified. This customizability not only broadens the operating window of AMOs in optoelectronics but also further enables other applications, such as digital memory devices and thin-film-transistors. Typically, AMO thin films are obtained by conventional chemical or physical vapor deposition; however, these processes generally require undesirable toxic gas precursors, a vacuum environment, and a long processing time. Gallium-based liquid metals (LMs) – a class of metals that exist as liquid at or near room temperature – naturally forms an ultrathin layer of AMO (~3nm) on their surface under ambient conditions. Herein, we propose a method to harness this feature to continuously deposit gallium oxide (GaOx) and gallium indium oxide (GaInOx) traces with their host LMs at or near ambient conditions.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124547911","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Sequence-defined polypeptoid CARs for electron-beam and EUV lithography 用于电子束和EUV光刻的序列定义多肽CARs
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2658413
F. Käfer, C. Ober, Z. MEng, R. Segalman, Javier Read de Alaniz
{"title":"Sequence-defined polypeptoid CARs for electron-beam and EUV lithography","authors":"F. Käfer, C. Ober, Z. MEng, R. Segalman, Javier Read de Alaniz","doi":"10.1117/12.2658413","DOIUrl":"https://doi.org/10.1117/12.2658413","url":null,"abstract":"Polymeric photoresists are limited in their sensitivity, resolution, and line-edge roughness due in large part to their molar mass distribution and variation in composition of single polymer chains. While most synthetic polymers, have monomer units distributed randomly along the polymer chain, polypeptoids are, however, characterized by low stochastics i.e., identical chains with extremely low chemical, structural, and molar mass variability with a widely adjustable length and composition. In this work we describe the synthesis of 10 repeat-unit polypeptoids designed as a photopolymer and demonstrate their potential as CARs evaluated by electron-beam, DUV and extreme-UV lithography, obtaining well defined line-space patterns of less than 30 nm half-pitch.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121283369","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
High transfer fidelity via nanoimprint lithography of patterns for bioelectronics applications 高传递保真度通过纳米压印光刻模式的生物电子应用
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657991
P. Güell‐Grau, M. Asbahi, S. Smout, M. Willegems, B. K. Kotowska, M. Traub, S. Lenci, E. Storace, S. Severi
{"title":"High transfer fidelity via nanoimprint lithography of patterns for bioelectronics applications","authors":"P. Güell‐Grau, M. Asbahi, S. Smout, M. Willegems, B. K. Kotowska, M. Traub, S. Lenci, E. Storace, S. Severi","doi":"10.1117/12.2657991","DOIUrl":"https://doi.org/10.1117/12.2657991","url":null,"abstract":"Precise control on nanoscale pattern manufacturing is key to enable new-generation devices in numerous fields, such as bioelectronics or optics, among others. However, to meet the requirements of the industry, it is especially relevant to increase throughput and reduce processing costs. In this regard, Nano-Imprint Lithography (NIL) is an ideal candidate for manufacturing large volumes of devices with low cost-of-ownership, by replicating small features from high-quality masters. However, NIL faces some challenges, such as limited pattern transfer fidelity in large-area processing. Here, we show our NIL processing capabilities, in terms of both yield and transfer fidelity from original DUV manufactured masters, including features from few hundred to tens of nanometers. We present an exhaustive study on the pattern evolution through the complete process, including design, master fabrication, NIL and subsequent pattern transfer via etching. This study demonstrates the inclusion of NIL into our foundry-mature, CMOS-compatible process modules as well as the pattern evolution characterization that enable technology-aware modelling and designing.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122965213","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Novel polymer design for ultra-low stress material for advanced packaging applications 新型聚合物设计的超低应力材料用于先进的包装应用
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2658391
F. Meyer, M. Koch, K. Nishikawa, G. Larbig, K. Taniguchi
{"title":"Novel polymer design for ultra-low stress material for advanced packaging applications","authors":"F. Meyer, M. Koch, K. Nishikawa, G. Larbig, K. Taniguchi","doi":"10.1117/12.2658391","DOIUrl":"https://doi.org/10.1117/12.2658391","url":null,"abstract":"Multi-RDL architectures in WLP are pushing established materials like polyimide to their limits. Key limitations of these material are high temperature curing and shrinkage which leads to warpage and yield losses. We are developing a dielectric packaging material that has minimal stress upon curing while being compatible with established packaging processes. Another goal is to keep dielectric constant and loss factor as low as possible to ensure compatibility with high frequency applications. Additional feature for this new polymer class is high temperature stability.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123321174","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Development on main chain scission resists for high-NA EUV lithography 高na极紫外光刻用主链断裂抗蚀剂的研制
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657506
A. Shirotori, M. Hoshino, M. Fujimura, Sin Fu Yeh, H. Suh, D. De Simone, G. Vandenberghe, Hideaki Sanuki
{"title":"Development on main chain scission resists for high-NA EUV lithography","authors":"A. Shirotori, M. Hoshino, M. Fujimura, Sin Fu Yeh, H. Suh, D. De Simone, G. Vandenberghe, Hideaki Sanuki","doi":"10.1117/12.2657506","DOIUrl":"https://doi.org/10.1117/12.2657506","url":null,"abstract":"In this work, we introduce main chain scission resists with new concept for High-NA’s generation and report their lithography performance. Zeon has developed a new resist (ZER02#06M) in order to improve both resolution and lithography performance because conventional Zeon resists generated worse resolution and kissing(C/H), pinching(L/S) defects at tight pitch by top loss. The concreate approaches in order to improve the challenges are that to make scission reaction efficient and to get developability at exposed area higher in changing both monomers and functional groups. Zeon aims to make clear threshold between exposed and un-exposed area and improve low contrast and sensitivity at tight patterns especially. The lithography performance of ZER02#06M with their approaches were able to improve LCDU and margin with low LCDU on litho-performance compared to ZER02#04DM and ZER02#05M. Additionally, Zeon resists are indicated to have long-terms stability during litho-process with delay.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123371075","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信