Coater/developer-based techniques to achieve tight pitches towards high-NA EUV

Kanzo Kato, L. Huli, N. Antonovich, D. Hetzer, Steven Grzeskowiak, E. Liu, Akiteru Ko, S. Shimura, S. Kawakami, T. Kitano, S. Nagahara, Luciana Meli, I. Seshadri, M. Burkhardt, K. Petrillo
{"title":"Coater/developer-based techniques to achieve tight pitches towards high-NA EUV","authors":"Kanzo Kato, L. Huli, N. Antonovich, D. Hetzer, Steven Grzeskowiak, E. Liu, Akiteru Ko, S. Shimura, S. Kawakami, T. Kitano, S. Nagahara, Luciana Meli, I. Seshadri, M. Burkhardt, K. Petrillo","doi":"10.1117/12.2657290","DOIUrl":null,"url":null,"abstract":"As the semiconductor industry continues to push the limits of integrated circuit fabrication, reliance on extreme ultraviolet lithography (EUVL) has increased. Additionally, it has become clear that new techniques and methods are needed to mitigate pattern defectivity and roughness at lithography and etching and eliminate film-related defects. These approaches require further improvements to the process chemicals and the lithography process equipment to achieve finer patterns [1]. This paper reviews the ongoing progress in coater/developer processes to enable EUV patterning with sub-30 nm line and space and sub-40 nm pillars by using metal oxide resist (MOR). We show that combining new material with optimized illumination and processes helped reduce the minimum critical dimension size, defectivity, and roughness","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"1","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"Advanced Lithography","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1117/12.2657290","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 1

Abstract

As the semiconductor industry continues to push the limits of integrated circuit fabrication, reliance on extreme ultraviolet lithography (EUVL) has increased. Additionally, it has become clear that new techniques and methods are needed to mitigate pattern defectivity and roughness at lithography and etching and eliminate film-related defects. These approaches require further improvements to the process chemicals and the lithography process equipment to achieve finer patterns [1]. This paper reviews the ongoing progress in coater/developer processes to enable EUV patterning with sub-30 nm line and space and sub-40 nm pillars by using metal oxide resist (MOR). We show that combining new material with optimized illumination and processes helped reduce the minimum critical dimension size, defectivity, and roughness
基于涂层/显影剂的技术,以实现高na EUV的紧密间距
随着半导体行业不断推动集成电路制造的极限,对极紫外光刻(EUVL)的依赖也在增加。此外,很明显,需要新的技术和方法来减轻光刻和蚀刻中的图案缺陷和粗糙度,并消除与薄膜相关的缺陷。这些方法需要进一步改进工艺化学品和光刻工艺设备,以获得更精细的图案。本文综述了利用金属氧化物抗蚀剂(MOR)实现亚30nm线和空间以及亚40nm柱的EUV图像化的涂层/显影剂工艺的最新进展。我们表明,将新材料与优化的照明和工艺相结合,有助于降低最小临界尺寸、缺陷和粗糙度
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 求助全文
来源期刊
自引率
0.00%
发文量
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
copy
已复制链接
快去分享给好友吧!
我知道了
右上角分享
点击右上角分享
0
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信