Advanced Lithography最新文献

筛选
英文 中文
Electron beam and optical patterning of polymerizable ionic liquid-based resists 可聚合离子液体基抗蚀剂的电子束和光学图形
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2658445
N. Turek, Andrea Szpecht, Aleksandra Szymańska, T. Stefaniuk, K. Komorowska
{"title":"Electron beam and optical patterning of polymerizable ionic liquid-based resists","authors":"N. Turek, Andrea Szpecht, Aleksandra Szymańska, T. Stefaniuk, K. Komorowska","doi":"10.1117/12.2658445","DOIUrl":"https://doi.org/10.1117/12.2658445","url":null,"abstract":"For many years ionic liquids (ILs) have attracted the interest of the scientific community, finding new applications in green chemistry, chemical engineering, environmental science, and others. All applications have emerged due to ILs unique physiochemical properties like negligible volatility, high thermal stability, low toxicity, and very wide range of structural diversity. In our research we develop and exploit all of the advantages associated with the ILs molecules for lithographic patterning, expanding their applications to lithography resists. In this work we present the results of patterning achieved for different types of ionic liquids with vinylbenzyl and trimethoxysilyl groups.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116705157","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Pattern fidelity improvement of DSA hole patterns 改进DSA孔图的模式保真度
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2658245
M. Muramatsu, T. Nishi, Kiyohito Ito, Yoshihito Takahashi, Yasunori Hatamura, T. Kitano, Tomohiro Iwaki
{"title":"Pattern fidelity improvement of DSA hole patterns","authors":"M. Muramatsu, T. Nishi, Kiyohito Ito, Yoshihito Takahashi, Yasunori Hatamura, T. Kitano, Tomohiro Iwaki","doi":"10.1117/12.2658245","DOIUrl":"https://doi.org/10.1117/12.2658245","url":null,"abstract":"Directed Self-Assembly (DSA) has been reported many times in the past decade as a technique for forming fine patterns1- 12. As processes for application to the semiconductor process, the grapho-epitaxy process forms a desired pattern in an isolated area using a physical guide, and the chemical-epitaxy process forms a single pitch over a wide range using a chemical guide are typical. There are many reports regarding the line pattern formation using a lamellar phase to meet the demand for miniaturization from the mass production of semiconductors, and this is partly because the lamellar phase is relatively stable. However, for fine line pattern formation, multiplication techniques such as SADP (self-aligned double patterning) and SAQP (self-aligned quadruple patterning) have matured, and in recent years, the number of cases where EUV (extreme ultra-violet) single exposure is used is increasing. For this reason, DSA is rarely used in mass production of semiconductors. On the other hand, when miniaturizing high-density hole patterns, methods such as multiple exposure and etching, and methods of forming holes by crossing line patterns formed by SADP are relatively expensive. In addition, it is difficult to maintain the uniformity of hole CD (critical dimension) and pitch. In addition, when EUV is applied, it is not easy to suppress defects and form a wide range of patterns due to stochasticity, which has become a problem in recent years. Therefore, the formation of high-density hole patterns using DSA is attracting attention. In DSA, the hole diameter can be controlled by the molecular weight of BCP (block co-polymer), and the pitch tends to be uniform spontaneously. Also, if the chemical-epitaxy process is used, the pattern can be formed over a wide range. However, hole patterns using a cylinder phase tend to have large fluctuations in hole diameter and placement due to the high degree of freedom in placement. It is also important to what extent the established process can be extended to further miniaturization. In this presentation, we report on the hole pattern formation method by the chemo-epitaxy method and efforts to improve the fidelity for application to the semiconductor process.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127357691","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
AppliedPRO: process recipe optimizer for R&D acceleration and beyond AppliedPRO:用于研发加速和超越的工艺配方优化器
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2661320
Deepak Gupta, Sravan Nandakumar, T. Miyagi, Oliver Jan, W. Bishara, St Chiou, Kyeong-tae Lee, Andre Kim, Sangdoo Kim, Young-Soo Um, Ki-il Kim, Changbae Park, Myeonggil Shin, K. Bai
{"title":"AppliedPRO: process recipe optimizer for R&D acceleration and beyond","authors":"Deepak Gupta, Sravan Nandakumar, T. Miyagi, Oliver Jan, W. Bishara, St Chiou, Kyeong-tae Lee, Andre Kim, Sangdoo Kim, Young-Soo Um, Ki-il Kim, Changbae Park, Myeonggil Shin, K. Bai","doi":"10.1117/12.2661320","DOIUrl":"https://doi.org/10.1117/12.2661320","url":null,"abstract":"Semiconductor process development for state-of-the-art devices is a complex task that requires up to years of development. The complexity comes from the need to tune a significant number of process knobs in latest process tools, to meet multiple on-wafer performance targets, across an entire wafer. AppliedPRO® is a software and library of algorithms developed by Applied Materials for process recipe optimization to meet simultaneous process requirements across the entire wafer. The software is tailored to semiconductor use-cases and designed to be primarily used by process engineers to make critical decisions with confidence during process development. Over 100 use-cases have been generated for various semiconductor chips manufacturers, showing faster development time, less development resources, and higher process engineer productivity. This paper shows the use-case of Samsung N+1 Logic BEOL Spacer-Etch process recipe optimization using AppliedPRO®. We utilized AppliedPRO® structured design of experiment methodology and machine-learning algorithms to simultaneously model 10 process-recipe knobs of Applied Materials’ Centris® Sym3® X Etch system and their effect on 8 on-wafer metrics, and determine optimal process knob conditions for minimizing Spacer-tail, which is a key performance metric, while keeping other metrics close to spec. These optimized conditions reduced Spacer-tail by 73% on coupons, which was also validated on full-wafer. These optimal results were previously unachievable in all the previous experimental trials before introducing AppliedPRO®.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129642736","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Considerations in the design of photoacid generators 光酸发生器设计中的注意事项
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2658981
Conner A. Hoelzel, Li Cui, Benjamin D. Naab, J. Park, Philjae Kang, K. Hernandez, S. Coley, Stefan Alexandrescu, Rochelle Rena, J. Cameron, E. Aqad
{"title":"Considerations in the design of photoacid generators","authors":"Conner A. Hoelzel, Li Cui, Benjamin D. Naab, J. Park, Philjae Kang, K. Hernandez, S. Coley, Stefan Alexandrescu, Rochelle Rena, J. Cameron, E. Aqad","doi":"10.1117/12.2658981","DOIUrl":"https://doi.org/10.1117/12.2658981","url":null,"abstract":"Conventional chemically amplified resists for extreme ultraviolet (EUV) lithography are comprised of three fundamental components: a photoreactive, acid-generating species (PAG), an acid reactive polymer for solubility switching, and a basic component for acid diffusion control. The PAG component is typically derived from an organic onium salt, wherein the cation’s capacity to capture secondary electrons generated upon EUV irradiation of the resist underscores their reactivity in lithographic applications. Thus, effective rational design of these materials is critical for controlling both sensitivity of the resist and feature regularity. Herein, we describe a robust method for in silico prediction of fundamental properties of onium cations including electron affinity, LUMO energy, and relative charge distribution. We correlate these theoretical values to experimental measurements and further to the influence of PAG cation properties on resist performance under EUV exposure. In addition to the reactive properties of these cations, we analyze these lithographic data in the context of the physicochemical properties of the cations, particularly polarity. In all, the results of this study suggest that while electron affinity of the PAG cation may drive reactivity in response to EUV exposure, multiple factors must be considered in the design of cations for optimal overall resist performance.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"113943293","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Computational patterning and process variation impact on photonics devices 计算模式和工艺变化对光子器件的影响
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2658788
M. Chalony, L. Melvin, R. Zimmermann, B. Küchler, Emilie Viasnoff, R. Scarmozzino, D. Herrmann, Y. Saad, P. Stopford, Thuc H. Dam, U. Klostermann, W. Demmerle, Al Blais, R. Stoffer
{"title":"Computational patterning and process variation impact on photonics devices","authors":"M. Chalony, L. Melvin, R. Zimmermann, B. Küchler, Emilie Viasnoff, R. Scarmozzino, D. Herrmann, Y. Saad, P. Stopford, Thuc H. Dam, U. Klostermann, W. Demmerle, Al Blais, R. Stoffer","doi":"10.1117/12.2658788","DOIUrl":"https://doi.org/10.1117/12.2658788","url":null,"abstract":"With the introduction of Augmented Reality, Virtual Reality, and Mixed Reality (AR/VR/MR) applications, the fabrication of photonics devices is approaching a high volume manufacturing level. To scale these products to consumer friendly dimensions, there is still significant shrink needed for many not yet available components such as ultrasmall cameras, metalenses, microdisplays, and combiner optics. AR/VR/MR optical components include metalenses patterned over large areas, and the fidelity of these patterns may have a significant impact on performance. In this study, we apply OPC to the design intent and examine the implication of various lithographic and correction techniques on metalens performance through simulation. In addition, we investigate the root causes of the manufacturing process variability and its impact on metalens functionality. These devices are analyzed by comparing light propagation through the simulated manufactured system using rigorous lithographic models to the optimal system based on the design intent. The study finds that the size and shape of meta-atoms have a different impact on optical performance, depending on the type of the metalens.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114772146","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Current performance and future plans on electron multi-beam mask writers toward high-NA EUV era 面向高na EUV时代的电子多波束掩模编写器的现状与未来规划
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657994
Hiroshi Matsumoto, H. Nomura, Hayato Kimura, Keisuke Yamaguchi, Y. Kojima, M. Saito, T. Tamura, N. Nakayamada
{"title":"Current performance and future plans on electron multi-beam mask writers toward high-NA EUV era","authors":"Hiroshi Matsumoto, H. Nomura, Hayato Kimura, Keisuke Yamaguchi, Y. Kojima, M. Saito, T. Tamura, N. Nakayamada","doi":"10.1117/12.2657994","DOIUrl":"https://doi.org/10.1117/12.2657994","url":null,"abstract":"Electron multi-beam mask writers play a key role to expand EUV lithography usage in device mass production. It was in May 2021 when the MBMTM-2000 was released by NuFlare Technology, Inc. Since then, it has been installed at sites of mask manufactures. Accordingly, it supports their mask development and production. On top of reliable inherited mask writing technologies, newly developed technologies, which have achieved objectives, enable the multi-beam writer to make many contributions. In June 2022, the MBMTM-2000PLUS for the 2 nm node device development eventually debuted. The increased beam current density, 3.2 A/cm2 allows this latest mask writer to print a mask with productive writing time even using lower sensitivity resists. A charge effect reduction (CER) is a hardware solution to reduce amount of the resist surface charge. Thanks to adapting CER2.0, which is upgrade version of CER1.0, the image placement error caused by the resist surface charge effect in the MBMTM-2000PLUS is reduced by 50% as compared with the MBMTM-2000 with optics CER1.0. This successor also takes over essential functions including pixel level dose correction (PLDC), charge effect correction (CEC), and glass thermal expansion correction (GTEC) from the MBM-2000. In this paper, the current performance of MBM series mask writers and the key architectures above-mentioned have been discussed. We also explain our strategies to keep continuous throughput improvement by optimizing items such as beam size, data transfer speed, beam current density and so on. Our roadmap indicates that NuFlare Technology, Inc. makes contribution to the high-NA EUV ecosystem.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131479054","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Micro-nanostructuring by optical lithography and nitridation of photo-patternable TiO2 sol-gel to obtain micro-nanostructured TiN 采用光学光刻和氮化光图像化TiO2溶胶凝胶制备微纳结构TiN
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2647262
V. Vallejo Otero, N. Crespo-Monteiro, E. Gamet, S. Reynaud, C. Donnet, Y. Jourlin
{"title":"Micro-nanostructuring by optical lithography and nitridation of photo-patternable TiO2 sol-gel to obtain micro-nanostructured TiN","authors":"V. Vallejo Otero, N. Crespo-Monteiro, E. Gamet, S. Reynaud, C. Donnet, Y. Jourlin","doi":"10.1117/12.2647262","DOIUrl":"https://doi.org/10.1117/12.2647262","url":null,"abstract":"Titanium nitride (TiN) combines plasmonic properties in the visible and near infrared spectral region with good mechanical properties, high thermal and chemical stability making it a very promising alternative to noble metals for optical applications at high temperature or in extreme environments. The authors present a new easy and fast process for the elaboration of micro-nanostructured TiN layers. This process combines photo-patternable TiO2 sol-gel by optical lithography and a nitridation process, by rapid thermal annealing (RTA). During this presentation, the elaboration of sol-gel, its structuring by different optical lithography methods, as well as its nitridation by RTA are presented.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132976617","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Fundamental studies of interactions between polymer substrate and precursor in sequential infiltration synthesis 序贯渗透合成中聚合物底物与前驱体相互作用的基础研究
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2655986
Francis J. McCallum, Jiacheng Zhao, M. D. Hossain, Joshua A. Kaitz, J. Cameron, P. Trefonas, I. Blakey, Hui Peng, A. Whittaker
{"title":"Fundamental studies of interactions between polymer substrate and precursor in sequential infiltration synthesis","authors":"Francis J. McCallum, Jiacheng Zhao, M. D. Hossain, Joshua A. Kaitz, J. Cameron, P. Trefonas, I. Blakey, Hui Peng, A. Whittaker","doi":"10.1117/12.2655986","DOIUrl":"https://doi.org/10.1117/12.2655986","url":null,"abstract":"Sequential infiltration synthesis (SIS) is becoming an important tool for resist hardening and formation of unique nanostructures. SIS is a variant of atomic layer deposition (ALD), in which the organometallic precursors are allowed to diffuse into the polymeric substrate before condensation. In contrast to ALD, the extended diffusion time in SIS potentially allows for extensive penetration into the substrate. An important parameter in SIS is the affinity of the precursor with the polymer substrate. Differences in affinity can be exploited, for e.g., for generation of patterned structures within block copolymers. To date, the interactions between the precursor molecules, for example trimethyl aluminium (TMA) have been inferred from in situ or ex situ vibrational spectroscopy. Potentially much richer information can be gained from NMR and transmission FTIR spectroscopy of solutions of precursor and polymer. Fundamental studies of PMMA and TMA allow identification and screening of novel polymer substrates for SIS. Previous studies have provided broad design rules for SIS; e.g., highly-polar and strongly basic structures enhance uptake of precursors. The precursor molecules such as TMA are Lewis acids and hence will associate with functional groups having base character. We have investigated SIS polymers that incorporate a stronger Lewis base group, sulfinyl, in poly((2-methylsulfinyl) ethyl methacrylate) (PMSEMA). Details of the interactions between TMA and PMSEMA in solution, and as films, and comparison with a range of other materials, provide information on potential of these materials for SIS.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132086325","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Scaled-down deposited underlayers for EUV lithography EUV光刻的缩小沉积下层
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2660376
Mihir Gupta, Joao Antunes Afonso, P. Bézard, Rémi Vallat, R. Fallica, H. Suh, S. Halder, D. De Simone, Zecheng Liu, F. Ran, H. Fukuda, Yiting Sun, D. de Roest, D. Piumi
{"title":"Scaled-down deposited underlayers for EUV lithography","authors":"Mihir Gupta, Joao Antunes Afonso, P. Bézard, Rémi Vallat, R. Fallica, H. Suh, S. Halder, D. De Simone, Zecheng Liu, F. Ran, H. Fukuda, Yiting Sun, D. de Roest, D. Piumi","doi":"10.1117/12.2660376","DOIUrl":"https://doi.org/10.1117/12.2660376","url":null,"abstract":"To further enable device scaling in HVM, new patterning materials are needed to meet the more stringent requirements such as line width and edge roughness (LWR and LER), dose sensitivity, pattern collapse, etch resistance and defectivity. The continuous progression of the shrinking of resist feature sizes will be accompanied by the scaling-down of the resist film thickness to prevent pattern collapse and to compensate for low depth-of-focus for high-NA EUV lithography. However, if we reduce the resist film thickness, we must also reduce the underlayer (UL) hardmask film thickness for optimum pattern transfer. As an alternative to spin-on underlayers, deposited ULs can be a potential candidate as it is possible to produce very thin uniformly deposited ULs, with the freedom to incorporate different elements to improve adhesion and modify etch selectivity. In this paper, we will discuss deposited ULs with film thickness scaled down to 3.5 nm for EUV lithography patterning as well as etch performance for pitch 32 and 28 line/space structures. We will also discuss about the possibility to modify the ULs to match the surface energy of the photoresist in use in order to minimize pattern collapse. Additionally, with scaled-down deposited ULs, we were able to obtain very similar post-litho unbiased roughness values (LWR 2.23 nm and LER 1.7 nm) as 10 nm spin-on reference UL (LWR: 2.26 nm and LER 1.66 nm). We will discuss more such details in terms of surface roughness, dose sensitivity, post-litho and post-etch LWR, LER, pattern collapse and defectivity in the presentation. Such ULs could become useful for high-NA EUV lithography when the litho stack is expected to scale down in thickness.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131863597","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Role of counter-anion chemistry, free volume, and reaction byproducts in chemically amplified resists 在化学放大抗蚀剂中,反阴离子化学、自由体积和反应副产物的作用
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2656952
Christopher M. Bottoms, M. Doxastakis, G. Stein
{"title":"Role of counter-anion chemistry, free volume, and reaction byproducts in chemically amplified resists","authors":"Christopher M. Bottoms, M. Doxastakis, G. Stein","doi":"10.1117/12.2656952","DOIUrl":"https://doi.org/10.1117/12.2656952","url":null,"abstract":"Fundamental understanding of the physical processes controlling deprotection in chemical amplified resists (CARs) is critical to improve their utility for high-resolution lithography. We employ a combined experimental and computational method to examine the impacts of excess free volume generation, reaction byproducts, catalyst clustering, and catalyst counter-anion chemistry/size on deprotection rates in a model terpolymer CAR. These studies suggest that catalyst diffusion can be enhanced by a combination of excess free volume and reaction byproducts, and that counter-anion chemistry/size plays a key role in local reaction rates, which stems from differences in the rotational mobility of the catalyst.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117086123","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信