面向高na EUV时代的电子多波束掩模编写器的现状与未来规划

Hiroshi Matsumoto, H. Nomura, Hayato Kimura, Keisuke Yamaguchi, Y. Kojima, M. Saito, T. Tamura, N. Nakayamada
{"title":"面向高na EUV时代的电子多波束掩模编写器的现状与未来规划","authors":"Hiroshi Matsumoto, H. Nomura, Hayato Kimura, Keisuke Yamaguchi, Y. Kojima, M. Saito, T. Tamura, N. Nakayamada","doi":"10.1117/12.2657994","DOIUrl":null,"url":null,"abstract":"Electron multi-beam mask writers play a key role to expand EUV lithography usage in device mass production. It was in May 2021 when the MBMTM-2000 was released by NuFlare Technology, Inc. Since then, it has been installed at sites of mask manufactures. Accordingly, it supports their mask development and production. On top of reliable inherited mask writing technologies, newly developed technologies, which have achieved objectives, enable the multi-beam writer to make many contributions. In June 2022, the MBMTM-2000PLUS for the 2 nm node device development eventually debuted. The increased beam current density, 3.2 A/cm2 allows this latest mask writer to print a mask with productive writing time even using lower sensitivity resists. A charge effect reduction (CER) is a hardware solution to reduce amount of the resist surface charge. Thanks to adapting CER2.0, which is upgrade version of CER1.0, the image placement error caused by the resist surface charge effect in the MBMTM-2000PLUS is reduced by 50% as compared with the MBMTM-2000 with optics CER1.0. This successor also takes over essential functions including pixel level dose correction (PLDC), charge effect correction (CEC), and glass thermal expansion correction (GTEC) from the MBM-2000. In this paper, the current performance of MBM series mask writers and the key architectures above-mentioned have been discussed. We also explain our strategies to keep continuous throughput improvement by optimizing items such as beam size, data transfer speed, beam current density and so on. Our roadmap indicates that NuFlare Technology, Inc. makes contribution to the high-NA EUV ecosystem.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"0","resultStr":"{\"title\":\"Current performance and future plans on electron multi-beam mask writers toward high-NA EUV era\",\"authors\":\"Hiroshi Matsumoto, H. Nomura, Hayato Kimura, Keisuke Yamaguchi, Y. Kojima, M. Saito, T. Tamura, N. Nakayamada\",\"doi\":\"10.1117/12.2657994\",\"DOIUrl\":null,\"url\":null,\"abstract\":\"Electron multi-beam mask writers play a key role to expand EUV lithography usage in device mass production. It was in May 2021 when the MBMTM-2000 was released by NuFlare Technology, Inc. Since then, it has been installed at sites of mask manufactures. Accordingly, it supports their mask development and production. On top of reliable inherited mask writing technologies, newly developed technologies, which have achieved objectives, enable the multi-beam writer to make many contributions. In June 2022, the MBMTM-2000PLUS for the 2 nm node device development eventually debuted. The increased beam current density, 3.2 A/cm2 allows this latest mask writer to print a mask with productive writing time even using lower sensitivity resists. A charge effect reduction (CER) is a hardware solution to reduce amount of the resist surface charge. Thanks to adapting CER2.0, which is upgrade version of CER1.0, the image placement error caused by the resist surface charge effect in the MBMTM-2000PLUS is reduced by 50% as compared with the MBMTM-2000 with optics CER1.0. This successor also takes over essential functions including pixel level dose correction (PLDC), charge effect correction (CEC), and glass thermal expansion correction (GTEC) from the MBM-2000. In this paper, the current performance of MBM series mask writers and the key architectures above-mentioned have been discussed. We also explain our strategies to keep continuous throughput improvement by optimizing items such as beam size, data transfer speed, beam current density and so on. Our roadmap indicates that NuFlare Technology, Inc. makes contribution to the high-NA EUV ecosystem.\",\"PeriodicalId\":212235,\"journal\":{\"name\":\"Advanced Lithography\",\"volume\":null,\"pages\":null},\"PeriodicalIF\":0.0000,\"publicationDate\":\"2023-05-01\",\"publicationTypes\":\"Journal Article\",\"fieldsOfStudy\":null,\"isOpenAccess\":false,\"openAccessPdf\":\"\",\"citationCount\":\"0\",\"resultStr\":null,\"platform\":\"Semanticscholar\",\"paperid\":null,\"PeriodicalName\":\"Advanced Lithography\",\"FirstCategoryId\":\"1085\",\"ListUrlMain\":\"https://doi.org/10.1117/12.2657994\",\"RegionNum\":0,\"RegionCategory\":null,\"ArticlePicture\":[],\"TitleCN\":null,\"AbstractTextCN\":null,\"PMCID\":null,\"EPubDate\":\"\",\"PubModel\":\"\",\"JCR\":\"\",\"JCRName\":\"\",\"Score\":null,\"Total\":0}","platform":"Semanticscholar","paperid":null,"PeriodicalName":"Advanced Lithography","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1117/12.2657994","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 0

摘要

电子多波束掩模刻录机对扩大EUV光刻技术在器件量产中的应用起着关键作用。NuFlare Technology, Inc.于2021年5月发布了MBMTM-2000。从那时起,它已经安装在口罩生产现场。因此,它支持他们的口罩开发和生产。在可靠的继承掩模书写技术的基础上,新开发的技术实现了目标,使多波束书写器做出了许多贡献。2022年6月,用于2nm节点器件开发的MBMTM-2000PLUS最终首次亮相。增加的光束电流密度为3.2 A/cm2,即使使用较低灵敏度的电阻,也可以在有效的写入时间内打印掩模。电荷效应降低(CER)是一种降低抗蚀剂表面电荷量的硬件解决方案。由于采用了CER1.0的升级版CER2.0, MBMTM-2000PLUS的抗蚀表面电荷效应引起的图像放置误差比采用光学CER1.0的MBMTM-2000降低了50%。这款后继产品还继承了MBM-2000的基本功能,包括像素级剂量校正(PLDC)、电荷效应校正(CEC)和玻璃热膨胀校正(GTEC)。本文讨论了MBM系列掩码编写器的性能现状和关键架构。我们还解释了通过优化光束大小、数据传输速度、光束电流密度等项目来保持持续吞吐量提高的策略。我们的路线图表明,NuFlare Technology, Inc.为高na EUV生态系统做出了贡献。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
Current performance and future plans on electron multi-beam mask writers toward high-NA EUV era
Electron multi-beam mask writers play a key role to expand EUV lithography usage in device mass production. It was in May 2021 when the MBMTM-2000 was released by NuFlare Technology, Inc. Since then, it has been installed at sites of mask manufactures. Accordingly, it supports their mask development and production. On top of reliable inherited mask writing technologies, newly developed technologies, which have achieved objectives, enable the multi-beam writer to make many contributions. In June 2022, the MBMTM-2000PLUS for the 2 nm node device development eventually debuted. The increased beam current density, 3.2 A/cm2 allows this latest mask writer to print a mask with productive writing time even using lower sensitivity resists. A charge effect reduction (CER) is a hardware solution to reduce amount of the resist surface charge. Thanks to adapting CER2.0, which is upgrade version of CER1.0, the image placement error caused by the resist surface charge effect in the MBMTM-2000PLUS is reduced by 50% as compared with the MBMTM-2000 with optics CER1.0. This successor also takes over essential functions including pixel level dose correction (PLDC), charge effect correction (CEC), and glass thermal expansion correction (GTEC) from the MBM-2000. In this paper, the current performance of MBM series mask writers and the key architectures above-mentioned have been discussed. We also explain our strategies to keep continuous throughput improvement by optimizing items such as beam size, data transfer speed, beam current density and so on. Our roadmap indicates that NuFlare Technology, Inc. makes contribution to the high-NA EUV ecosystem.
求助全文
通过发布文献求助,成功后即可免费获取论文全文。 去求助
来源期刊
自引率
0.00%
发文量
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
copy
已复制链接
快去分享给好友吧!
我知道了
右上角分享
点击右上角分享
0
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信