{"title":"A 4 to 40V Wide Input Range and Energy Re-Cycling High Power LiDAR Driver for 5% Efficiency Enhancement and 300m Long-distance Object Detection","authors":"Si-Yi Li, Zheng-Lun Huang, Sheng Cheng Lee, Ke-Horng Chen, Kuo-Lin Zheng, Ying-Hsi Lin, Shian-Ru Lin, Tsung-Yen Tsai","doi":"10.1109/ESSCIRC55480.2022.9911418","DOIUrl":"https://doi.org/10.1109/ESSCIRC55480.2022.9911418","url":null,"abstract":"In automotive applications, the battery voltage may drop to a low voltage of 4V when a sudden power-on occurs, and immediately rise to a high voltage of 40V during a loading pump. Thus, the paper presents a 4 to 40V wide input range high power LiDAR driver based on an enhancement Gallium Nitride (eGaN) switch. In addition, in the case of high di/dt, the proposed protection re-cycling (PRC) technique is used to re-direct the charge at the gate of the eGaN switch to a storage capacitor, which not only protects the GaN switch but also improves the efficiency by 5%. Experimental results show that the resultant long-distance object detection can be up to 300 meters.","PeriodicalId":168466,"journal":{"name":"ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC)","volume":"310 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131969854","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"A 1.8-67GHz Divide-by-4 ILFD Using Area-Efficient Transformer-Based Injection-Enhancing Technique","authors":"Yudai Yamazaki, Jian Pang, A. Shirane, K. Okada","doi":"10.1109/ESSCIRC55480.2022.9911503","DOIUrl":"https://doi.org/10.1109/ESSCIRC55480.2022.9911503","url":null,"abstract":"In this paper, a wide-locking-range divide-by-4 injection locked frequency divider (ILFD) using area-efficient transformer-based inj ection-enhancing technique is introduced. This ILFD is composed of a 4-stages differential ring oscillator and area-efficient injection-enhancing transformer. With the proposed technique, injection current is increased in wide-band frequency with low power consumption and small area. The locking range is extended in all frequency bands of 28GHz, 39GHz and 60GHz. The measured operating range is 1.8-67GHz (190%). The core area of this ILFD is 0.059mm2 in a 65nm CMOS process.","PeriodicalId":168466,"journal":{"name":"ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC)","volume":"34 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123191395","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
E. Albuquerque, R. Bugalho, L. Oliveira, T. Niknejad, José C. Silva, A. Boletti, J. Varela
{"title":"A Full Current-Mode Timing Circuit with Dark Noise Suppression for the CERN CMS Experiment","authors":"E. Albuquerque, R. Bugalho, L. Oliveira, T. Niknejad, José C. Silva, A. Boletti, J. Varela","doi":"10.1109/ESSCIRC55480.2022.9911529","DOIUrl":"https://doi.org/10.1109/ESSCIRC55480.2022.9911529","url":null,"abstract":"In this paper we present an analog circuit for the new MIP Timing Detector of the CMS experiment at CERN, featuring, for the first time, a silicon implementation of the Differential Leading Edge Discriminating technique to suppress SiPM dark noise. This technique also stabilizes the baseline, leading to a time resolution of 25 ps at beginning of life and 55 ps at end of life while dissipating less than 4 mW. The full analog front-end ASIC has 32 channels and has been designed in a CMOS 130 nm technology with a total die area of 8.5 x 5.2 mm2. The radiation tolerance of this design has been confirmed by radiation tests.","PeriodicalId":168466,"journal":{"name":"ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC)","volume":"53 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121949273","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
M. Prathapan, P. Mueller, C. Menolfi, M. Brändli, M. Kossel, P. Francese, David Heim, Maria Vittoria Oropallo, A. Ruffino, C. Zota, T. Morf
{"title":"A cryogenic SRAM based arbitrary waveform generator in 14 nm for spin qubit control","authors":"M. Prathapan, P. Mueller, C. Menolfi, M. Brändli, M. Kossel, P. Francese, David Heim, Maria Vittoria Oropallo, A. Ruffino, C. Zota, T. Morf","doi":"10.1109/ESSCIRC55480.2022.9911459","DOIUrl":"https://doi.org/10.1109/ESSCIRC55480.2022.9911459","url":null,"abstract":"Realization of qubit gate sequences require coherent microwave control pulses with programmable amplitude, duration, spacing and phase. We propose an SRAM based arbitrary waveform generator for cryogenic control of spin qubits. We demonstrate in this work, the cryogenic operation of a fully programmable radio frequency arbitrary waveform generator in 14 nm FinFET technology. The waveform sequence from a control processor can be stored in an SRAM memory array, which can be programmed in real time. The waveform pattern is converted to microwave pulses by a source-series-terminated digital to analog converter. The chip is operational at 4 K, capable of generating an arbitrary envelope shape at the desired carrier frequency. Total power consumption of the AWG is 40-140mW at 4 K, depending upon the baud rate. A wide signal band of 1–17 GHz is measured at 4 K, while multiple qubit control can be achieved using frequency division multiplexing at an average spurious free dynamic range of 40 dB. This work paves the way to optimal qubit control and closed loop feedback control, which is necessary to achieve low latency error mitigation and correction in future quantum computing systems.","PeriodicalId":168466,"journal":{"name":"ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC)","volume":"110 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122048930","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"A Sub-0.01° Phase Resolution 6.8-mW fNIRS Readout Circuit Employing a Mixer-First Frequency-Domain Architecture","authors":"Cheng Chen, Zhouchen Ma, Yaxin Liu, Zhenhong Liu, Linfeng Zhou, Yan Wu, Liang Qi, Yongfu Li, M. Sawan, Guoxing Wang, Jian Zhao","doi":"10.1109/ESSCIRC55480.2022.9911433","DOIUrl":"https://doi.org/10.1109/ESSCIRC55480.2022.9911433","url":null,"abstract":"This paper presents a frequency-domain functional near-infrared spectroscopy readout circuit for absolute value measurement of tissue optical characteristics. A mixer-first analog front-end (AFE) structure and a 1-bit $Sigma-Delta$ phase-to-digital converter (PDC) are proposed to lower both the required circuit bandwidth and the laser modulation frequency to save the power while maintain high resolution. The IC achieves sub-0.01° phase resolution and 6.8-mW power consumption. 9 optical solid phantoms are produced to evaluate the chip. Compared to a self-built high-precision measurement platform which combines a network analyzer with an APD module, the maximum measuring errors of absorption coefficient and reduced scattering coefficient are 14.2% and 16.5%, respectively.","PeriodicalId":168466,"journal":{"name":"ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC)","volume":"56 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115450201","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Staffan Ek, Patrik Karlsson, Andreas Kämpe, R. Strandberg, A. Narayanan, Martin Anderson, Hind Dafallah, Mesrop Daghbashyan, Tayebeh Ghanavati Nejad, Robert Hägglund, N. Ivanisevic, R. Nilsson, Peter Nygren, Mattias Palm, Erik Säll, S. Tao, My-Chien Yee, Lars Sundström
{"title":"A Bang-Bang Digital PLL Covering 11.1-14.3 GHz and 14.7-18.7 GHz with sub-40 fs RMS Jitter in 7 nm FinFET Technology","authors":"Staffan Ek, Patrik Karlsson, Andreas Kämpe, R. Strandberg, A. Narayanan, Martin Anderson, Hind Dafallah, Mesrop Daghbashyan, Tayebeh Ghanavati Nejad, Robert Hägglund, N. Ivanisevic, R. Nilsson, Peter Nygren, Mattias Palm, Erik Säll, S. Tao, My-Chien Yee, Lars Sundström","doi":"10.1109/ESSCIRC55480.2022.9911298","DOIUrl":"https://doi.org/10.1109/ESSCIRC55480.2022.9911298","url":null,"abstract":"This paper presents an integer-N bang-bang digital PLL for synthesis of a high purity clock targeting output frequencies of 12 and 16 GHz using a 500 MHz reference. The PLL uses a self-resetting differential comparator-based BBPD with low hysteresis and a dual DCO architecture for lowest phase noise at respective output frequency. The PLL is implemented in a 7 nm FinFET process with an area of 0.18 mm2and achieves <40fs RMS jitter integrated between 1 kHz and 100MHz with a phase noise of -118.6 dBc/Hz at 1 MHz offset, while consuming 85.4 mW. The jitter varies less than 1.5dB across a -40 C to +85 C ambient temperature range.","PeriodicalId":168466,"journal":{"name":"ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116030394","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Jin Jin, Simone Lecchi, R. Castello, D. Manstretta
{"title":"An FDD Auxiliary Receiver with a Highly Linear Low Noise Amplifier","authors":"Jin Jin, Simone Lecchi, R. Castello, D. Manstretta","doi":"10.1109/ESSCIRC55480.2022.9911524","DOIUrl":"https://doi.org/10.1109/ESSCIRC55480.2022.9911524","url":null,"abstract":"An auxiliary receiver is proposed, including a high dynamic range low-noise amplifier and second-order baseband filter to improve the compression point with low power dissipation. The receiver has high input impedance and it can be placed at the transmitter output without loading effects. Implemented in a 28nm CMOS technology it occupies an active area of 0.5 mm2. The receiver has a measured NF of 6 dB and it can withstand up to +7 dBm continuous waveform (CW) signal at 80 MHz offset with less than 1-dB gain compression. The signal path and the clock generation circuits consume 27 mW and 20 mW at 2 GHz respectively.","PeriodicalId":168466,"journal":{"name":"ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC)","volume":"15 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115481525","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
A. Agarwal, S. Hsu, M. Anders, G. Pandya, R. Krishnamurthy, J. Tschanz, V. De
{"title":"On-Chip High-Resolution Timing Characterization Circuits for Memory IPs","authors":"A. Agarwal, S. Hsu, M. Anders, G. Pandya, R. Krishnamurthy, J. Tschanz, V. De","doi":"10.1109/ESSCIRC55480.2022.9911374","DOIUrl":"https://doi.org/10.1109/ESSCIRC55480.2022.9911374","url":null,"abstract":"A fully configurable and synthesizable timing characterization test-bench for memory IPs enables high resolution clk2q, setup, hold and cycle-time delay measurements. The timing test-bench features distributed regional capture FFs, mesh based low-skew clock and setup difference measurement across regional capture FFs to minimize error, multiple data/input delay generators to handle timing permutations across memory inputs, automated relative placement/pre-routing for matched layout and XORed clock delay generators to create multiple edges for measuring read after write delay/cycle time.","PeriodicalId":168466,"journal":{"name":"ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC)","volume":"45 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128684426","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Jie Lou, Christian Lanius, Florian Freye, Tim Stadtmann, T. Gemmeke
{"title":"All-Digital Time-Domain Compute-in-Memory Engine for Binary Neural Networks With 1.05 POPS/W Energy Efficiency","authors":"Jie Lou, Christian Lanius, Florian Freye, Tim Stadtmann, T. Gemmeke","doi":"10.1109/ESSCIRC55480.2022.9911382","DOIUrl":"https://doi.org/10.1109/ESSCIRC55480.2022.9911382","url":null,"abstract":"This paper presents an all-digital time-domain compute-in-memory (TDCIM) engine for binary neural networks (BNNs), which is based on commercial standard cells facilitating technology mapping. The proposed TDCIM engine exploits energy-efficient computing principles, supports data reuse and employs double-edge triggered operation. Time domain wave-pipelining technique is also introduced to improve throughput by 1.5x while preserving accuracy. We use Structured Data-Path (SDP) placement and custom routing flow during place and route (P&R) to reduce systematic variations. The measured arrival time of different MAC results is sufficiently bounded to preserve accuracy across PVT variations. Fabricated in a 22nm process, the proposed BNN engine can achieve an energy efficiency of 1.05 POPS/W at 0.5V matching the accuracy of the PyTorch baseline of 99.14% on the MNIST dataset.","PeriodicalId":168466,"journal":{"name":"ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC)","volume":"35 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131038936","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Jens Karrenbauer, Simon C. Klein, S. Schönewald, Lukas Gerlach, Meinolf Blawat, Jens Benndorf, Holger Blume
{"title":"SmartHeaP - A High-level Programmable, Low Power, and Mixed-Signal Hearing Aid SoC in 22nm FD-SOI","authors":"Jens Karrenbauer, Simon C. Klein, S. Schönewald, Lukas Gerlach, Meinolf Blawat, Jens Benndorf, Holger Blume","doi":"10.1109/ESSCIRC55480.2022.9911325","DOIUrl":"https://doi.org/10.1109/ESSCIRC55480.2022.9911325","url":null,"abstract":"To handle the advances in hearing aid algorithms, the need for high-level programmable but low-power hardware architectures arises. Therefore, this paper presents the Smart Hearing Aid Processor (SmartHeaP), a mixed-signal system on chip (SoC) fabricated in 22 nm fully-depleted silicon-on-insulator (FD-SOI) with an adaptive body biasing (ABB) unit and a total die size of 7.36 mm 2. The proposed SoC consists of two application-specific instruction set processor (ASIP) architectures: firstly, a Cadence Tensilica Fusion G6 instruction set architecture, extended with custom instructions for audio processing, and secondly, a Cadence Tensilica LX7 for wireless interfacing, e.g., Bluetooth Low Energy. Furthermore, an analog front-end and digital audio interfaces are added. The large local memory of 2 MB and a high-level software environment enables memory-intensive algorithms to be deployed quickly. Typical hearing aid algorithms in a real-time setup are used to evaluate the power consumption of the SoC at different operating frequencies. At 50 MHz, a mean power consumption of less than 2.2 mW was measured, resulting in an efficiency of 34.8 µW/MHz.","PeriodicalId":168466,"journal":{"name":"ESSCIRC 2022- IEEE 48th European Solid State Circuits Conference (ESSCIRC)","volume":"8 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128821116","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}