IET Computers and Digital Techniques最新文献

筛选
英文 中文
Design topologies with dual-Vth and dual-Tox assignment in 16 nm CMOS technology 在16nm CMOS技术中设计具有双Vth和双Tox分配的拓扑结构
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2020-04-30 DOI: 10.1049/iet-cdt.2018.5211
Smita Singhal, Anu Mehra, Upendra Tripathi
{"title":"Design topologies with dual-Vth and dual-Tox assignment in 16 nm CMOS technology","authors":"Smita Singhal,&nbsp;Anu Mehra,&nbsp;Upendra Tripathi","doi":"10.1049/iet-cdt.2018.5211","DOIUrl":"https://doi.org/10.1049/iet-cdt.2018.5211","url":null,"abstract":"<div>\u0000 <p>This study presents different topologies for the assignment of dual threshold voltage and dual gate oxide thickness in 16 nm complementary metal-oxide-semiconductor technology. The objective is to optimise the circuit in terms of static power dissipation, delay, and power-delay-product (pdp). Topologies namely direct, grouping, and divide-by-2 are simulated for and conventional 1-bit full adder circuits. Results of the proposed topologies are compared with some of the existing techniques of leakage reduction i.e. dual-, dual- and supply switching with ground collapse (SSGC). 1-bit full adder circuit using direct topology reduces static power to 99.98, 96.71, and 95.86% as compared to static power in dual-, dual-, and SSGC techniques, respectively. The pdp of the circuit is significantly improved using proposed topologies. Thus, these topologies can be used for low power and high-performance applications with no area overhead.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-04-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1049/iet-cdt.2018.5211","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72169499","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Multi-core hardware realisation of the quasi maximum likelihood PPS estimator 准最大似然PPS估计器的多核硬件实现
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2020-04-23 DOI: 10.1049/iet-cdt.2019.0114
Nevena R. Brnović, Veselin N. Ivanović, Igor Djurović, Marko Simeunović
{"title":"Multi-core hardware realisation of the quasi maximum likelihood PPS estimator","authors":"Nevena R. Brnović,&nbsp;Veselin N. Ivanović,&nbsp;Igor Djurović,&nbsp;Marko Simeunović","doi":"10.1049/iet-cdt.2019.0114","DOIUrl":"https://doi.org/10.1049/iet-cdt.2019.0114","url":null,"abstract":"<div>\u0000 <p>Multi-core hardware realisation of the quasi maximum likelihood algorithm as the state-of-the-art estimator of polynomial phase signals (PPSs) is proposed in this study. Developed multiple-clock-cycle realisation is suitable for real-time implementation. To prove this, the proposed design is implemented on a field programmable gate array circuit. The hardware realisation is tested and verified on PPSs corrupted with various amounts of the Gaussian noise. Obtained results are compared with software simulations showing excellent match between the proposed system-based and the software-based outputs.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-04-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/iet-cdt.2019.0114","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72161844","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Area and power-efficient variable-length fast Fourier transform for MR-OFDM physical layer of IEEE 802.15.4-g 用于IEEE 802.15.4-g的MR-OFDM物理层的面积和功率高效可变长度快速傅立叶变换
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2020-04-23 DOI: 10.1049/iet-cdt.2018.5260
Ganjikunta Ganesh Kumar, Subhendu K. Sahoo
{"title":"Area and power-efficient variable-length fast Fourier transform for MR-OFDM physical layer of IEEE 802.15.4-g","authors":"Ganjikunta Ganesh Kumar,&nbsp;Subhendu K. Sahoo","doi":"10.1049/iet-cdt.2018.5260","DOIUrl":"https://doi.org/10.1049/iet-cdt.2018.5260","url":null,"abstract":"<div>\u0000 <p>The authors present a novel 16/32/64/128-point single-path delay feedback pipeline fast Fourier transform (FFT) architecture targeting the multi-rate and multi-regional orthogonal frequency division multiplexing (MR-OFDM) physical layer of IEEE 802.15.4-g. The proposed FFT architecture employs a mixed-radix algorithm to significantly reduce the number of complex multipliers. It utilises a configurable complex constant multiplier structure instead of a fixed constant multiplier to efficiently conduct , , and twiddle factor multiplication. A hardware-sharing mechanism has also been formulated to reduce the memory space requirements of the proposed 16/32/64/128-point FFT computation scheme. The proposed design is implemented in Xilinx Virtex-5 and Altera's field-programmable gate array devices. For the computation of 128-point FFT, the proposed mixed-radix FFT architecture significantly reduces the hardware cost in comparison with existing FFT architecture. The proposed FFT architecture is also implemented by adopting the 90 nm complementary metal-oxide-semiconductor technology with a supply voltage of 1 V. Post-synthesis results reveal that the design is efficient in terms of gate count and power consumption, compared to earlier reported designs. The proposed variable-length FFT architecture gate count is 22.3K and consumes 3.832 mW, while the word-length is 12-bits and can be efficiently useful for the IEEE 802.15.4-g standard.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-04-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1049/iet-cdt.2018.5260","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72161843","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Ternary DDCVSL: a combined dynamic logic style for standard ternary logic with single power source 三值DDCVSL:一种用于标准三值逻辑的单电源组合动态逻辑风格
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2020-04-17 DOI: 10.1049/iet-cdt.2019.0216
Nooshin Azimi, Reza Faghih Mirzaee, Keivan Navi, Amir Masoud Rahmani
{"title":"Ternary DDCVSL: a combined dynamic logic style for standard ternary logic with single power source","authors":"Nooshin Azimi,&nbsp;Reza Faghih Mirzaee,&nbsp;Keivan Navi,&nbsp;Amir Masoud Rahmani","doi":"10.1049/iet-cdt.2019.0216","DOIUrl":"https://doi.org/10.1049/iet-cdt.2019.0216","url":null,"abstract":"<div>\u0000 <p>Every logic style has certain advantages for a specific application. Therefore, it is essential to introduce and investigate different logic styles. Differential cascode voltage switch logic (DCVSL) with the inherent redundancy is known to be an ideal logic style for error detection applications. This study combines ternary static DCVSL (SDCVSL) with dynamic logic (DL) to realise ternary dynamic DCVSL (DDCVSL) by means of a single power source. At first, it is shown that why the same static-to-dynamic conversion method in binary logic fails to operate correctly in ternary logic. Then, two solutions are given. Static power dissipation and switching activity are particularly dealt with in the second proposed ternary DDCVSL to reduce power consumption. The new designs are simulated and tested by using HSPICE simulator and 32 nm Stanford carbon nanotube field effect transistor model. Simulation results and comparisons with a vast range of conventional and state-of-the-art competitors show prominence and great potential for the new ternary circuit methodology. For example, the authors second proposed ternary DDCVSL AND/NAND has 19.7, 37.4, and 60.5% higher performance than some famous static ternary logic styles such as CMOS-like, SDCVSL, and pseudo N-type, respectively, in terms of energy consumption.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-04-17","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1049/iet-cdt.2019.0216","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"71968646","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Sensitivity analysis of testability parameters for secure IC design 安全集成电路设计中可测试性参数的灵敏度分析
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2020-04-17 DOI: 10.1049/iet-cdt.2019.0217
Sreeja Rajendran, Mary Lourde Regeena
{"title":"Sensitivity analysis of testability parameters for secure IC design","authors":"Sreeja Rajendran,&nbsp;Mary Lourde Regeena","doi":"10.1049/iet-cdt.2019.0217","DOIUrl":"https://doi.org/10.1049/iet-cdt.2019.0217","url":null,"abstract":"<div>\u0000 <p>Insertion of malicious circuits commonly known as Hardware Trojans into an original integrated circuit (IC) design to alter the functionality has been a major concern in recent years. As a result, over the years multiple techniques have been suggested by researchers to combat these malicious threats. Hard to test nets in any logic circuit are the most vulnerable to insertion of Hardware Trojans. Testability analysis is the process of identification of these hard to test nets in a logic circuit. Testability analysis is achieved through the testability metrics namely controllability and observability. Testability metrics can be used as a yardstick in devising efficient Hardware Trojan detection methods. The crux of this study is a novel method for identification of susceptible nets that are prone to Hardware Trojan insertions in a logic circuit. The study also presents a comprehensive analysis of the impact on testability parameters as a result of Hardware Trojans in the identified susceptible nets. The method utilises the testability parameters of nets to define threshold values for isolating susceptible nets in a design. The study details out the impact of the number of trigger inputs as well as the distribution of trigger nets on the testability metrics of digital circuits.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-04-17","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1049/iet-cdt.2019.0217","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"71968645","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Amdahl's law in the context of heterogeneous many-core systems – a survey 异质多核心系统背景下的Amdahl定律——综述
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2020-04-03 DOI: 10.1049/iet-cdt.2018.5220
Mohammed A. Noaman Al-hayanni, Fei Xia, Ashur Rafiev, Alexander Romanovsky, Rishad Shafik, Alex Yakovlev
{"title":"Amdahl's law in the context of heterogeneous many-core systems – a survey","authors":"Mohammed A. Noaman Al-hayanni,&nbsp;Fei Xia,&nbsp;Ashur Rafiev,&nbsp;Alexander Romanovsky,&nbsp;Rishad Shafik,&nbsp;Alex Yakovlev","doi":"10.1049/iet-cdt.2018.5220","DOIUrl":"https://doi.org/10.1049/iet-cdt.2018.5220","url":null,"abstract":"<div>\u0000 <p>For over 50 years, Amdahl's Law has been the hallmark model for reasoning about performance bounds for homogeneous parallel computing resources. As heterogeneous, many-core parallel resources continue to permeate into the modern server and embedded domains, there has been growing interest in promulgating realistic extensions and assumptions in keeping with newer use cases. This study aims to provide a comprehensive review of the purviews and insights provided by the extensive body of work related to Amdahl's law to date, focusing on computation speedup. The authors show that a significant portion of these studies has looked into analysing the scalability of the model considering both workload and system heterogeneity in real-world applications. The focus has been to improve the definition and semantic power of the two key parameters in the original model: the parallel fraction (<i>f</i>) and the computation capability improvement index (<i>n</i>). More recently, researchers have shown normal-form and multi-fraction extensions that can account for wider ranges of heterogeneity, validated on many-core systems running realistic workloads. Speedup models from Amdahl's law onwards have seen a wide range of uses, such as the optimisation of system execution, and these uses are even more important with the advent of the heterogeneous many-core era.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-04-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/iet-cdt.2018.5220","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"71927028","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
LUT-based high-speed point multiplier for Goldilocks-Curve448 基于LUT的Goldilocks-Curve448高速点乘法器
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2020-04-03 DOI: 10.1049/iet-cdt.2019.0041
Yasir A. Shah, Khalid Javeed, Muhammad I. Shehzad, Shoaib Azmat
{"title":"LUT-based high-speed point multiplier for Goldilocks-Curve448","authors":"Yasir A. Shah,&nbsp;Khalid Javeed,&nbsp;Muhammad I. Shehzad,&nbsp;Shoaib Azmat","doi":"10.1049/iet-cdt.2019.0041","DOIUrl":"https://doi.org/10.1049/iet-cdt.2019.0041","url":null,"abstract":"<div>\u0000 <p>Recent studies have shown that existing elliptic curve-based cryptographic standards provide backdoors for manipulation and hence compromise the security. In this regard, two new elliptic curves known as Curve448 and Curve25519 are recently recommended by IETF for transport layer security future generations. Hence, cryptosystems built over these elliptic curves are expected to play a vital role in the near future for secure communications. A high-speed elliptic curve cryptographic processor (ECCP) for the Curve448 is proposed in this study. The area of the ECCP is optimised by performing different modular operations required for the elliptic curve Diffie–Hellman protocol through a unified architecture. The critical path delay of the proposed ECCP is optimised by adopting the redundant-signed-digit technique for arithmetic operations. The segmentation approach is introduced to reduce the required number of clock cycles for the ECCP. The proposed ECCP is developed using look-up-tables (LUTs) only, and hence it can be ported to any field-programmable gate array family or standard ASIC libraries. The authors' ECCP design offers higher speed without any significant area overhead to recent designs reported in the literature.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-04-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1049/iet-cdt.2019.0041","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"71927029","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
The analogy of matchline sensing techniques for content addressable memory (CAM) 内容可寻址存储器(CAM)的匹配线传感技术的相似性
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2020-04-01 DOI: 10.1049/iet-cdt.2019.0178
Sandeep Mishra, Telajala Venkata Mahendra, Sheikh Wasmir Hussain, Anup Dandapat
{"title":"The analogy of matchline sensing techniques for content addressable memory (CAM)","authors":"Sandeep Mishra,&nbsp;Telajala Venkata Mahendra,&nbsp;Sheikh Wasmir Hussain,&nbsp;Anup Dandapat","doi":"10.1049/iet-cdt.2019.0178","DOIUrl":"https://doi.org/10.1049/iet-cdt.2019.0178","url":null,"abstract":"<div>\u0000 <p>Performance of a memory depends on the storage stability, yield and sensing speed. Differential input and the latching time of sense amplifiers are considered as primary performance factors in static random access memory. In a content addressable memory (CAM), the sensing is carried out through the matchline (ML) and the time for evaluation is the key to decide the search speed. The density of CAM is on a rise to accommodate a higher amount of information which increases the power dissipation associated with it. Issues such as the logical threshold variation and lower noise margin between match and mismatch are critical in the operation of a CAM. A good ML sensing technique can reduce the ML power with enhanced evaluation speed. This work provides an analogy of various ML sensing techniques based on their pre-charging, evaluation and performance improvement strategies. Estimation on the power dissipation and evaluation time are made and in-depth analysis on their power-speed-overhead trade-off are carried on 64-bit CAM macros.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1049/iet-cdt.2019.0178","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72124999","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Scalable pseudo-exhaustive methodology for testing and diagnosis in flow-based microfluidic biochips 基于流动的微流控生物芯片测试和诊断的可扩展伪穷举方法
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2020-03-31 DOI: 10.1049/iet-cdt.2018.5029
Gokulkrishnan Vadakkeveedu, Kamakoti Veezhinathan, Nitin Chandrachoodan, Seetal Potluri
{"title":"Scalable pseudo-exhaustive methodology for testing and diagnosis in flow-based microfluidic biochips","authors":"Gokulkrishnan Vadakkeveedu,&nbsp;Kamakoti Veezhinathan,&nbsp;Nitin Chandrachoodan,&nbsp;Seetal Potluri","doi":"10.1049/iet-cdt.2018.5029","DOIUrl":"https://doi.org/10.1049/iet-cdt.2018.5029","url":null,"abstract":"<div>\u0000 <p>Microfluidics is an upcoming field of science that is going to be used widely in many safety-critical applications including healthcare, medical research and defence. Hence, technologies for fault testing and fault diagnosis of these chips are of extreme importance. In this study, the authors propose a scalable pseudo-exhaustive testing and diagnosis methodology for flow-based microfluidic biochips. The proposed approach employs a divide-and-conquer based technique wherein, large architectures are split into smaller sub-architectures and each of these are tested and diagnosed independently.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-03-31","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1049/iet-cdt.2018.5029","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72170152","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Single bit-line 11T SRAM cell for low power and improved stability 用于低功耗和提高稳定性的单比特线11T SRAM单元
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2020-03-31 DOI: 10.1049/iet-cdt.2019.0234
Rohit Lorenzo, Roy Pailly
{"title":"Single bit-line 11T SRAM cell for low power and improved stability","authors":"Rohit Lorenzo,&nbsp;Roy Pailly","doi":"10.1049/iet-cdt.2019.0234","DOIUrl":"https://doi.org/10.1049/iet-cdt.2019.0234","url":null,"abstract":"<div>\u0000 <p>This study aims for a new 11T static random access memory (SRAM) cell that uses power gating transistors and transmission gate for low leakage and reliable write operation. The proposed cell has a separate read and write path which successfully improves read and write abilities. Furthermore, it solves the row half select disturbance and utilises a row-based virtual ground signal to eliminate unnecessary bit-line discharge in the un-selected row, thus decreasing energy consumption. The cell also achieves low power due to the stack effect. To show the effectiveness of the cell, its design metrics are compared with other published SRAM cells, namely, conventional 6T, 10T, 9T, and power-gated 9T (PG9T). In standby mode, from 6.71 to 7.37% leakage power reduction is observed for this cell at an operating voltage of 1.2 V and 29.21 to 58.68% &amp; 32.74 to 71.11% improvement for write &amp; read power over other cells. The proposed cell exhibits higher write and reads static noise margins with an improvement of 13.54 and 63.28%, respectively, compared to conventional 6T SRAM cell. The cell provides write delay improvement from 29.77 to 49.40% and read delay improvement from 7 to 12% compared to 9T, 10T, and PG9T, respectively.</p>\u0000 </div>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-03-31","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://sci-hub-pdf.com/10.1049/iet-cdt.2019.0234","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"71998028","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 32
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信