SPIE Photomask Technology最新文献

筛选
英文 中文
Accurate lithography analysis for yield prediction 精确的光刻分析用于良率预测
SPIE Photomask Technology Pub Date : 2007-10-30 DOI: 10.1117/12.746797
G. Yeric, Babak Hatamian, R. Kapoor
{"title":"Accurate lithography analysis for yield prediction","authors":"G. Yeric, Babak Hatamian, R. Kapoor","doi":"10.1117/12.746797","DOIUrl":"https://doi.org/10.1117/12.746797","url":null,"abstract":"New DFM tools appearing on the market hold a promise of assessing parametric and functional yield loss due to lithography effects. The accuracy of underlying models can limit the veracity of such assessment. For example, many lithography steps used in the fab are extremely nonlinear and might exhibit significant differences from models used by the DFM tools. Furthermore, inputs used in calibrating a model can limit its accuracy, and most organizations are challenged to characterize the exact needs of a lithography model at a statistically relevant sampling size. After discussing potential sources of inaccuracy in modeling, the paper will describe a methodology for modeling and yield prediction based on such accurate modeling.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"120 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133947982","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Repairing 45 nm node defects through nano-machining 利用纳米加工修复45纳米节点缺陷
SPIE Photomask Technology Pub Date : 2007-10-30 DOI: 10.1117/12.748668
R. White, Andrew Dinsdale, Tod E. Robinson, D. Brinkley, Jeffrey E. Csuy, David W. Lee
{"title":"Repairing 45 nm node defects through nano-machining","authors":"R. White, Andrew Dinsdale, Tod E. Robinson, D. Brinkley, Jeffrey E. Csuy, David W. Lee","doi":"10.1117/12.748668","DOIUrl":"https://doi.org/10.1117/12.748668","url":null,"abstract":"Recently questions have been raised about whether high aspect ratio (HAR) NanoBitsTM can be effectively utilized to repair extension defects in 45 nm node and beyond. The primary concern has been how the effect of NanoBitTM deflection impacts edge placement, sidewall angle and z-depth control repeatability. Higher aspect ratio bits are required for defects that arise as mask feature sizes become smaller. As the aspect ratio of the NanoBitTM continues to increase to meet these demands, the cross sectional area of the bit used for nanomachining becomes thinner and more susceptible to bending under the forces applied during the nanomachining process. This is especially true when deeper features that require HAR NanoBitsTM are being repaired. To overcome this trend RAVE LLC has developed a new repair process that utilizes the strength of the bit shape. Repair of 45 nm node defects that require HAR NanoBitsTM will be demonstrated using a new repair process and cantilever design.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"25 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122985968","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Intel's AMT enables rapid processing and info-turn for Intel's DFM test chip vehicle 英特尔的AMT为英特尔的DFM测试芯片车辆提供了快速处理和信息转换
SPIE Photomask Technology Pub Date : 2007-10-30 DOI: 10.1117/12.746844
H. Hajj
{"title":"Intel's AMT enables rapid processing and info-turn for Intel's DFM test chip vehicle","authors":"H. Hajj","doi":"10.1117/12.746844","DOIUrl":"https://doi.org/10.1117/12.746844","url":null,"abstract":"Transistor dimensions are quickly approaching atomic levels. Metrology is already a challenge. Several technologies have evolved to keep pace such as scatterometry and bare wafer inspection. Lithography critical dimensions, registration and pitch are the forefront of dimensional scaling challenges. Variability at these dimensions can limit function, performance, yield and profitability with design for manufacturing (DFM) challenges. Intel's integrated device manufacturing (IDM) model has enabled many technologies and disciplines to come together to provide the most cost effective and optimal solutions to Moore's law scaling challenges. Intel's Automated Manufacturing Technology (AMT) capabilities play a significant role in enabling optimal Moore's law scaling solutions. The information turn cycle starts with the definition of the technology Test Chip and ends with the analysis of results from end of line (EOL) metrology. We will discuss the relevant DFM elements of AMT to enable: test-chip setup, computational lithography and validation, product & process modeling and setup, intelligence and control to minimize variability, rapid yield learning, and rapid product design learning.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"299 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114329318","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Investigation of mask defectivity in full field EUV lithography 全视场EUV光刻掩模缺陷的研究
SPIE Photomask Technology Pub Date : 2007-10-30 DOI: 10.1117/12.746566
R. Jonckheere, F. Iwamoto, G. Lorusso, A. Goethals, K. Ronse, H. Koop, T. Schmoeller
{"title":"Investigation of mask defectivity in full field EUV lithography","authors":"R. Jonckheere, F. Iwamoto, G. Lorusso, A. Goethals, K. Ronse, H. Koop, T. Schmoeller","doi":"10.1117/12.746566","DOIUrl":"https://doi.org/10.1117/12.746566","url":null,"abstract":"A detailed defect printability analysis is reported for conditions that are fully representative for the world's first full field EUV scanner, using 4X reticles, as obtained by simulation. For absorber type defects the historical rule of thumb underestimates the printability. An opaque defect located in a space within a 40nm lines and space pattern can already cause more than a 10% change in the space width from 80% of the space width onwards (>32nm at mask scale, >8 nm at wafer scale) depending on its location. Absorber type clear defects start affecting line width in 40nm lines and spaces from about twice the size of an opaque defect. Particles simulated as carbon cubes have a similar effect as absorber type opaque defects provided that they are about 50% larger. Other possible particle materials are investigated as well. Local carbon deposition, which may originate from SEM inspection, can cause a printing effect already at a thickness of only 2nm. Multilayer or substrate type defects require surface smoothing to less than about 2nm, as to keep the impact of so-called phase defects under control. Experimental plans for comparison of simulations to exposures on the ASML Alpha Demo Tool installed at IMEC are included.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"255 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123605763","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 21
Polygon-based compensation of proximity and density effects in photomask processes 基于多边形的掩模加工中邻近和密度效应补偿
SPIE Photomask Technology Pub Date : 2007-10-30 DOI: 10.1117/12.746796
K. Kageyama, Katsuyuki Miyoko, Y. Okuda, G. Perçin, A. Sezginer, J. Carrero, Alan Zhu, Anwei Liu
{"title":"Polygon-based compensation of proximity and density effects in photomask processes","authors":"K. Kageyama, Katsuyuki Miyoko, Y. Okuda, G. Perçin, A. Sezginer, J. Carrero, Alan Zhu, Anwei Liu","doi":"10.1117/12.746796","DOIUrl":"https://doi.org/10.1117/12.746796","url":null,"abstract":"In recent years, mask critical dimension (CD) linearity and uniformity has become increasingly important. The ITRS roadmap shows the mask CD control requirements exceeding those of the wafer side beyond the 45nm node. Measurements show that there are systematic, uncorrected proximity effects even when a state-of-the-art proximity effect correction (PEC) algorithm is used. The uncorrected proximity effect is predictable with a computational model. The model for e-beam lithography and etch process contains terms to model short-range pattern density effects and plasma shadowing effect in Cr-etch. The model is calibrated using CD measurements on a test mask. The model is valid for arbitrary 2-D patterns. We present a model-based mask process compensation (MPC) method which applies geometric changes to polygons as in OPC. We discuss the goodness of model fit to the calibration data; verification of the calibrated model by SEM images; and the improvement obtained by MPC. The mask writing error, i.e. final inspection CD minus incoming database CD, was reduced by a factor of 2 through the use of MPC.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"20 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125955068","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Validation of a fast and accurate 3D mask model for SRAF printability analysis at 32nm node 验证用于 32 纳米节点 SRAF 印刷适性分析的快速准确 3D 掩模模型
SPIE Photomask Technology Pub Date : 2007-10-30 DOI: 10.1117/12.746704
Peng Liu, Christian Zuniga, Zhongtuan Ma, Hanying Feng
{"title":"Validation of a fast and accurate 3D mask model for SRAF printability analysis at 32nm node","authors":"Peng Liu, Christian Zuniga, Zhongtuan Ma, Hanying Feng","doi":"10.1117/12.746704","DOIUrl":"https://doi.org/10.1117/12.746704","url":null,"abstract":"The accuracy of a fast 3D thick mask model is evaluated for 6% AttPSM having sub-resolution assist features (SRAF). The main features and SRAFs are designed to print 40nm lines or spaces on wafer (k1~0.28) through pitch from 100nm to 500nm. The resulting optimum SRAF sizes vary from 10nm to 48nm depending on the main feature pitch, mask tone and illuminator shape. The model accuracy is evaluated on both main feature CDs and SRAF side lobe intensities by comparing with a rigorous model. The fast 3D model shows improvements in both areas over thin mask model, particularly in SRAF printability prediction.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"166 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127555553","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Compositional analysis of progressive defects on a photomask 光掩模上递进缺陷的成分分析
SPIE Photomask Technology Pub Date : 2007-10-30 DOI: 10.1117/12.746375
K. Saga, H. Kawahira
{"title":"Compositional analysis of progressive defects on a photomask","authors":"K. Saga, H. Kawahira","doi":"10.1117/12.746375","DOIUrl":"https://doi.org/10.1117/12.746375","url":null,"abstract":"Progressive mask defects are a critical mask-reliability issue in DUV lithography. It is well known that the majority of the defects are ammonium sulfates. We have found using ToF-SIMS that metallic atoms are localized at ammonium-sulfate defects on the mask surface, can influence the growth of the defects. Carbon compounds containing nitrogen atoms are also localized at the some defects. These carbon compounds are the result of the adsorption of organic volatiles outgassing from a reticle SMIF pod. Metal residues and organic contamination on a photomask as well as airborne acidic and basic contamination must be controlled to avoid progressive defects on photomasks.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"272 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124407803","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Etch proximity correction by integrated model-based retargeting and OPC flow 基于模型的再定位和OPC流集成蚀刻邻近校正
SPIE Photomask Technology Pub Date : 2007-10-30 DOI: 10.1117/12.746773
Shumay Dou Shang, Y. Granik, M. Niehoff
{"title":"Etch proximity correction by integrated model-based retargeting and OPC flow","authors":"Shumay Dou Shang, Y. Granik, M. Niehoff","doi":"10.1117/12.746773","DOIUrl":"https://doi.org/10.1117/12.746773","url":null,"abstract":"Model-based Optical Proximity Correction (OPC) usually takes into consideration optical and resist process proximity effects. However, the etch bias proximity effect usually can not be completely eliminated by etch process optimization only and needs to be compensated for in OPC flow for several critical layers. Since the understanding of the etch process effect is getting better and accurate etch bias modeling is available now, lithographers start to migrate from rule-based correction to model-based correction. Conventionally when etch bias is considered in model-based correction, optical/resist/etch effect is corrected in one step by using the input layout as the final etch target. In this paper, we proposed a new flow in which etch and optical/resist process effect are separated in both model calibration and layout correction. This double separation allows easier control over etch and resist target, resulting in drastic reduction of OPC runtime. In addition it enables post-OPC verification at both resist and etch level. Advantages of the new integrated model-based retarget/OPC flow in RET implementation are also discussed.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"42 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128705677","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
Automatic assist feature placement optimization based on process-variability reduction 基于过程可变性减少的自动辅助特征放置优化
SPIE Photomask Technology Pub Date : 2007-10-30 DOI: 10.1117/12.748035
Srividya Jayaram, A. Yehia, M. Bahnas, Hesham A. Maaty Omar, Z. Bozkus, J. Sturtevant
{"title":"Automatic assist feature placement optimization based on process-variability reduction","authors":"Srividya Jayaram, A. Yehia, M. Bahnas, Hesham A. Maaty Omar, Z. Bozkus, J. Sturtevant","doi":"10.1117/12.748035","DOIUrl":"https://doi.org/10.1117/12.748035","url":null,"abstract":"To maximize the process window and CD control of main features, sizing and placement rules for sub-resolution assist features (SRAF) need to be optimized, subject to the constraint that the SRAFs not print through the process window. With continuously shrinking target dimensions, generation of traditional rule-based SRAFs is becoming an expensive process in terms of time, cost and complexity. This has created an interest in other rule optimization methodologies, such as image contrast and other edge- and image-based objective functions. In this paper, we propose using an automated model-based flow to obtain the optimal SRAF insertion rules for a design and reduce the time and effort required to define the best rules. In this automated flow, SRAF placement is optimized by iteratively generating the space-width rules and assessing their performance against process variability metrics. Multiple metrics are used in the flow. Process variability (PV) band thickness is a good indicator of the process window enhancement. Depth of focus (DOF), the total range of focus that can be tolerated, is also a highly descriptive metric for the effectiveness of the sizing and placement rules generated. Finally, scatter bar (SB) printing margin calculations assess the allowed exposure range that prevents scatter bars from printing on the wafer.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"56 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123848924","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Improving the CD linearity and proximity performance of photomasks written on the Sigma7500-II DUV laser writer through embedded OPC 通过嵌入式OPC提高Sigma7500-II DUV激光书写器上光掩模的CD线性度和接近性能
SPIE Photomask Technology Pub Date : 2007-10-30 DOI: 10.1117/12.747074
Anders Österberg, Lars Ivansen, Angela Beyerl, T. Newman, A. Bowhill, E. Sahouria, S. Schulze
{"title":"Improving the CD linearity and proximity performance of photomasks written on the Sigma7500-II DUV laser writer through embedded OPC","authors":"Anders Österberg, Lars Ivansen, Angela Beyerl, T. Newman, A. Bowhill, E. Sahouria, S. Schulze","doi":"10.1117/12.747074","DOIUrl":"https://doi.org/10.1117/12.747074","url":null,"abstract":"Optical proximity correction (OPC) is widely used in wafer lithography to produce a printed image that best matches the design intent while optimizing CD control. OPC software applies corrections to the mask pattern data, but in general it does not compensate for the mask writer and mask process characteristics. The Sigma7500-II deep-UV laser mask writer projects the image of a programmable spatial light modulator (SLM) using partially coherent optics similar to wafer steppers, and the optical proximity effects of the mask writer are in principle correctable with established OPC methods. To enhance mask patterning, an embedded OPC function, LinearityEqualizeTM, has been developed for the Sigma7500- II that is transparent to the user and which does not degrade mask throughput. It employs a CalibreTM rule-based OPC engine from Mentor Graphics, selected for the computational speed necessary for mask run-time execution. A multinode cluster computer applies optimized table-based CD corrections to polygonized pattern data that is then fractured into an internal writer format for subsequent data processing. This embedded proximity correction flattens the linearity behavior for all linewidths and pitches, which targets to improve the CD uniformity on production photomasks. Printing results show that the CD linearity is reduced to below 5 nm for linewidths down to 200 nm, both for clear and dark and for isolated and dense features, and that sub-resolution assist features (SRAF) are reliably printed down to 120 nm. This reduction of proximity effects for main mask features and the extension of the practical resolution for SRAFs expands the application space of DUV laser mask writing.","PeriodicalId":308777,"journal":{"name":"SPIE Photomask Technology","volume":"31 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127335388","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:604180095
Book学术官方微信