Photomask Technology最新文献

筛选
英文 中文
Functional underlayers for dose reduction and collapse mitigation in EUV lithography: a factorial analysis 超紫外光刻中用于降低剂量和减缓塌陷的功能性底层:因子分析
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2687546
R. Fallica, Weizhong Huang, Hyo Seon Suh, Danilo De Simone, Douglas J. Guerrero, Kodai Kato
{"title":"Functional underlayers for dose reduction and collapse mitigation in EUV lithography: a factorial analysis","authors":"R. Fallica, Weizhong Huang, Hyo Seon Suh, Danilo De Simone, Douglas J. Guerrero, Kodai Kato","doi":"10.1117/12.2687546","DOIUrl":"https://doi.org/10.1117/12.2687546","url":null,"abstract":"It is renowned that underlayers can enhance photoresist performance in extreme ultraviolet lithography via chemical/physical interactions, but these effects are elusive to identify. Using factorial analysis, we pinpoint which underlayer parameters have or have not an effect on the lithography patterning quality of chemically amplified and metal oxide resists in three proposed experiments. Experimental factors were underlayer thickness, density, surface roughness, surface energy and composition; the outputs were: dose, exposure latitude, line roughness and its correlation length.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"7 1","pages":"127500I - 127500I-4"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139253352","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
How to improve intra-field CDU of contact hole patterns in both X-Y directions with CDC technology 如何利用 CDC 技术改进 X-Y 两个方向上接触孔图案的场内 CDU
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2685350
Yilei Zeng, Xiuxuan Zhang, Levi Tang, Yingjie Wang, Pei Su, Adam Liu, Claire Zhang
{"title":"How to improve intra-field CDU of contact hole patterns in both X-Y directions with CDC technology","authors":"Yilei Zeng, Xiuxuan Zhang, Levi Tang, Yingjie Wang, Pei Su, Adam Liu, Claire Zhang","doi":"10.1117/12.2685350","DOIUrl":"https://doi.org/10.1117/12.2685350","url":null,"abstract":"In Dynamic Random Access Memory (DRAM) manufacturing process, contact hole (CH) patterns are critical and challenging array layers. Compared to line/space patterns, CH patterns generally tend to have a higher Mask Error Enhancement Factor (MEEF), therefore it will bring big challenges to wafer Global Critical Dimension Uniformity (GCDU) control, and it is also obvious to observe that the intra-field CDU error contributes mainly to the wafer GCDU variations compared with inter-field error. To improve CH patterns’ intra-field CDU, lithography process generally uses ASML scanner dose mapper (DOMA) solution. Here we introduce a new intra-field CDU improvement technology called CD Correction (CDC) by mask tuning, which is developed by Carl Zeiss and can obtain local illumination transmittance control with higher space resolution than DOMA. In our CDC application cases of contact hole (aka 2D pattern) layers, CDU in both X-Y directions is crucial for process, but different improvement results are found. When CDU in one direction is fully improved by CDC, improvement in the other direction is often insufficient or excessive and hard to achieve a win-win effect. By further experiments and analysis, the key factor we figure out is CDCR (CDC ratio), which is different in X-Y directions. In our work, first, we present a CDC implementation approach that trades off both X-Y directions of improvement. Second, the principle of different CDCR in X-Y directions is explored, it provides a theoretical interpretation for different CDCR and can predict CDCR in future applications.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"69 1","pages":"1275112 - 1275112-10"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139253893","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Actinic blank inspection for high-NA EUV lithography 用于高核可见光 EUV 光刻技术的阳极坯料检测
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2686239
Tomohiro Suzuki, Ryo Watanabe, Shohei Sakuma, Tomoro Ide
{"title":"Actinic blank inspection for high-NA EUV lithography","authors":"Tomohiro Suzuki, Ryo Watanabe, Shohei Sakuma, Tomoro Ide","doi":"10.1117/12.2686239","DOIUrl":"https://doi.org/10.1117/12.2686239","url":null,"abstract":"Lasertec develops and manufactures inspection and measurement systems that meet the requirements of EUV lithography. Lasertec has successfully developed an actinic blank inspection (ABI) tool and released ABICS E120, a EUV mask blank inspection and review system that contributes to defect management and yield improvement in the production of EUV mask blanks. With the introduction of high-NA EUV scanners and the further progress of process nodes, actinic inspection tools will need to detect even smaller defects. Lasertec is developing a next-generation ABICS for such advanced nodes, eyeing its release in 2024. Its target performance is a sensitivity to detect defects 1nm high and 30nm wide with a coordinate accuracy of 10nm.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"54 5-6","pages":"127510E - 127510E-7"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139254240","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Programmable photomask for photolithography systems 用于光刻系统的可编程光掩膜
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2685213
Richard Beaudry, Md. Iftekharul Islam, A. Amnache, Maurice Delafosse, Luc Fréchette
{"title":"Programmable photomask for photolithography systems","authors":"Richard Beaudry, Md. Iftekharul Islam, A. Amnache, Maurice Delafosse, Luc Fréchette","doi":"10.1117/12.2685213","DOIUrl":"https://doi.org/10.1117/12.2685213","url":null,"abstract":"Digital lithography shortens development cycle time. Laser-based lithography is slow and lacks in overlay precision. The DIGITHO programmable photomask fits into standard photolithography steppers without system modifications. It can generate a different mask for each exposure. DIGITHO offers the most cost-effective solution for die-level serialization and fast prototyping to high throughput manufacturing.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"1 1","pages":"1275111 - 1275111-4"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139254631","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A holistic study on metal pitch uniformity control in the scheme of self-aligned double patterning 自对准双图案方案中金属间距均匀性控制的整体研究
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2685359
Zhao Liu
{"title":"A holistic study on metal pitch uniformity control in the scheme of self-aligned double patterning","authors":"Zhao Liu","doi":"10.1117/12.2685359","DOIUrl":"https://doi.org/10.1117/12.2685359","url":null,"abstract":"The chip size progressive shrinkage imposes more stringent requirement on the fine geometry processing with the technology revolution in the semiconductor industry. Until EUV mass production is available, Selfaligned double patterning (SADP), as well as self-aligned quadruple patterning (SAQP), is the dominate technique applied to achieve smaller Bit Line/Word Line (metal pitch) profile beyond lithography limitation. Conventional metal pitch is formed through Tungsten (W) deposition in the trench after SADP flow, however, the process variation in SADP scheme worsens the metal pitch length uniformity due to Aspect-ratio dependent etching (ARDE) effect. Such metal pitch length nonuniformity directly affects the resistance in the circuit as well as the device performance. Firstly, this paper starts with a deep investigation on the Critical dimension (CD) variation in the traditional SADP scheme from analytical study, followed by the conventional CD control mechanism for individual step. Secondly, it highlights current CD tuning limitation and introduces a novel tuning method. Lastly this paper describes the procedure to build up the new tuning mechanism conceptually and provides applicable suggestions for the industry implementation. Such novel tuning mechanism achieved 32% CD variation reduction.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"30 9","pages":"127500S - 127500S-4"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139252917","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Carbon nanotube membranes for EUV photolithography: a versatile material platform 用于 EUV 光刻技术的碳纳米管膜:多功能材料平台
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2686790
J. Etula, Ahmed Soliman, Tuhin Ghosh, Bjørn F. Mikladal, Emma Salmi, Emile Van Veldhoven, Kirill Chernenko, Ilkka Varjos, T. Juntunen
{"title":"Carbon nanotube membranes for EUV photolithography: a versatile material platform","authors":"J. Etula, Ahmed Soliman, Tuhin Ghosh, Bjørn F. Mikladal, Emma Salmi, Emile Van Veldhoven, Kirill Chernenko, Ilkka Varjos, T. Juntunen","doi":"10.1117/12.2686790","DOIUrl":"https://doi.org/10.1117/12.2686790","url":null,"abstract":"Next generation of high-NA extreme ultraviolet (EUV) photolithography introduces higher power levels and faster reticle accelerations, enabling breakthrough in scanner efficiency. This results in higher temperatures and mechanical stresses on the EUV pellicles. Here we demonstrate scalable carbon nanotube (CNT) membrane mass production from a floating catalyst chemical vapor deposition (FC-CVD) reactor, using a direct dry deposition method. This direct high volume fabrication method yields highly uniform CNT networks with high strength and purity, enabling exceedingly thin CNT pellicles with high transparency at EUV. This end-to-end manufacturing process, starting from reagent gases, enables control and reproducibility over the final nanomaterial product. Control over synthesis allows tailoring of the carbon nanotube diameter and wall count (SWCNT or FWCNT), as well as control over the CNT network morphology such as the density, bundle size, and orientation of CNTs. The combination of this direct fabrication method with the exceptional mechanical and thermal properties of CNTs creates a versatile membrane platform, which can be further modified with post process steps such as purification to remove metal impurities. To enable conformal and thin coatings on CNTs, wet and dry functionalization steps are demonstrated to match the surface chemistry of CNTs to the specific deposition chemistry used in atomic layer (ALD), chemical vapor (CVD), or physical vapor (PVD) deposition processes. Thicker and denser CNT membranes with appropriate coatings are also suitable for other roles, such as filtering debris from an EUV source, blocking DUV photons and electrons, and providing a gas seal for differential pressure.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"116 5","pages":"127500M - 127500M-8"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139253383","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Actinic pattern mask inspection for high-NA EUV lithography 用于高纳秒级 EUV 光刻技术的阳极图案掩膜检测
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2686350
Toshiyuki Todoroki, Ko Gondaira, A. Goonesekera, Hiroki Miyai
{"title":"Actinic pattern mask inspection for high-NA EUV lithography","authors":"Toshiyuki Todoroki, Ko Gondaira, A. Goonesekera, Hiroki Miyai","doi":"10.1117/12.2686350","DOIUrl":"https://doi.org/10.1117/12.2686350","url":null,"abstract":"Lasertec released the actinic patterned mask inspection (APMI) system ACTIS in 2019 and has since been providing it as an actinic inspection solution for EUV mask inspection. ACTIS performs high-resolution, high-throughput inspection of EUV photomasks. It detects all types of mask defects making lithographic impact because it uses the wavelength of light used in EUV lithography as its light source. While actinic inspection is typically known for its capability to detect phase defects, it is also indispensable for detecting phase shift defects on EUV PSM. ACTIS performs both die-to-die (D2D) and die-to-database (DDB) inspections and can inspect all types of EUV masks including multi-die masks and single-die masks. High-NA lithography is expected to be used for the EUV process at the technology nodes of N2 and beyond. The nextgeneration ACTIS has an objective mirror with a higher NA. This makes it possible to have different resolution characteristics in the X and Y directions, enabling it to meet the sensitivity required to detect defects in the anamorphic patterns used for high-NA EUV lithography. In addition, as design nodes become smaller, curvilinear masks will be adopted to improve resolution characteristics on wafers, which will require handling a large amount of design data per mask. For DDB inspection, which generates reference images using sophisticated, high-speed computer processing, the inspection of curvilinear masks is a major challenge. In DDB inspection, curve masks generate large amounts of data because complex curve shapes are approximated using polygons with a large number of vertices. It needs more computing resources and leads to a longer processing time. The reference images generated for inspection must be more intricate. APMI is necessary for pattern mask qualification of EUV masks with pellicles. However, the high sensitivity inspection of masks with EUV pellicles was prevented by the incident power limitation by heat load on the pellicle. Therefore, we have developed a new EUV light source that can minimize the thermal load. This paper describes the development results of the next-generation ACTIS for high-NA EUV lithography, the DDB inspection capability of ACTIS for curvilinear masks, as well as the requirements for APMI light sources, which differ from those of EUV scanner light sources, and the development result of Lasertec's EUV light source \"URASHIMA\".","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"22 3","pages":"1275102 - 1275102-10"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139253805","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
SEM image contour extraction with deep learning method 利用深度学习方法提取 SEM 图像轮廓
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2686135
Junhao Gu, Yingying Shang, Peng Xu, Juan Wei, Song Sun, Qingchen Cao, Jiangliu Shi, Xijin Zhao, Chun Zhang
{"title":"SEM image contour extraction with deep learning method","authors":"Junhao Gu, Yingying Shang, Peng Xu, Juan Wei, Song Sun, Qingchen Cao, Jiangliu Shi, Xijin Zhao, Chun Zhang","doi":"10.1117/12.2686135","DOIUrl":"https://doi.org/10.1117/12.2686135","url":null,"abstract":"The contour data extracted from SEM wafer images after the lithography are widely used in the critical dimension (CD), edge placement error (EPE) measurement. It is important to obtain the contours fast and accurate before the analysis of lithographic process and calibration of the lithographic models. Without the accurate contour data, the complete CDU, PVband analysis and inverse lithography technique are hard to realize. With the continuous shrink of the technology nodes, the demand for the accurate contour extraction increases. However, fast and accurate contour extraction from SEM images with defects and noises is challenging. We apply the U-Net to the semantic segmentation of SEM images. The contour extraction and evaluation can be done better after the image segmentation. Our experimental results show that satisfactory contour data of various types of lithographic patterns can be obtained with noisy SEM images.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"101 1","pages":"1275114 - 1275114-6"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139253951","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Mask optimization approach for wafer LCDU improvement in ArF lithography 改进 ArF 光刻技术晶片 LCDU 的掩模优化方法
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2688551
Kenjiro Ichikawa, Itaru Yoshida, Kazuaki Matsui, Y. Kojima, Tatsuya Nagatomo, M. Yamana
{"title":"Mask optimization approach for wafer LCDU improvement in ArF lithography","authors":"Kenjiro Ichikawa, Itaru Yoshida, Kazuaki Matsui, Y. Kojima, Tatsuya Nagatomo, M. Yamana","doi":"10.1117/12.2688551","DOIUrl":"https://doi.org/10.1117/12.2688551","url":null,"abstract":"Extreme ultra violet lithography is one of the most promising technologies for next-generation and already applied to critical layers for imaging 7-nm node and beyond. On the other hand, immersion ArF (iArF) lithography also continues to be applied to some critical layers by utilizing Multiple Patterning (MP). High accurate overlay control is required to reduce Edge Placement Error (EPE). In general, global errors on mask such as Critical Dimension Uniformity (CDU) and Image Placement (IP) are known as critical factors affecting EPE. Recently, the local variations on wafer are also discussed as non-negligible factors, especially for advanced technology node. Local CDU (LCDU) is one of the most typical local variations, therefore its requirements are getting more severe. In this paper, the mask impact on wafer LCDU in ArF lithography was investigated. In order to characterize the mask contribution, we designed the mask which has the patterns with various mask LCDU and lithographic performances. According to these evaluations, it was confirmed that mask LCDU, Normalized Image Log Slope (NILS) and Mask Error Enhancement Factor (MEEF) are major contributors to wafer LCDU. Based on the results, we explored wafer LCDU improvement by mask optimization and demonstrated its benefit on wafer.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"53 S1","pages":"127511H - 127511H-7"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139252155","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A simulation-based methodology to analyze the impact of edge-length on curvilinear mask accuracy 基于模拟的方法分析边缘长度对曲线光罩精度的影响
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2687760
Kushlendra Mishra, Rachit Sharma, Ingo Bork, Mary Zuo, Christof Zillner
{"title":"A simulation-based methodology to analyze the impact of edge-length on curvilinear mask accuracy","authors":"Kushlendra Mishra, Rachit Sharma, Ingo Bork, Mary Zuo, Christof Zillner","doi":"10.1117/12.2687760","DOIUrl":"https://doi.org/10.1117/12.2687760","url":null,"abstract":"While the curvilinear mask shapes generated by ILT improve the wafer lithography process window, the efficiency of mask data preparation steps and the MBMW data-path depends on the number of edges used to represent complex curvilinear shapes. Shape simplification methods have been shown to be effective in reducing the number of edges used to represent curvilinear mask data. In this paper, we present the development of an approach to analyze the impact of edge-length variation on curvilinear mask accuracy, which can be used as a practical guidance for edge-based representation of curvilinear shapes for a given mask process.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"39 1","pages":"127511E - 127511E-6"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139254030","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:604180095
Book学术官方微信