Photomask Technology最新文献

筛选
英文 中文
Curvilinear OPC mask synthesis flow 曲线 OPC 掩膜合成流程
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2686921
Yunqiang Zhang, Linghui Wu, Jian Rao, Yongdong Wang
{"title":"Curvilinear OPC mask synthesis flow","authors":"Yunqiang Zhang, Linghui Wu, Jian Rao, Yongdong Wang","doi":"10.1117/12.2686921","DOIUrl":"https://doi.org/10.1117/12.2686921","url":null,"abstract":"Curvilinear OPC has been developed for improved process window, more freedom in mask constraint and better MRC enforcement. Combined Curve OPC with ILT can further improve mask synthesis flow. We demonstrate hybrid curve OPC/ILT flows for more flexible deployment. High NA OPC together with anamorphic MRC can be well handled in this platform. Curve OPC can be deployed in co-optimization flow like litho-etch OPC, process window aware OPC, etc. Correction of any angle layout is challenging. We present our OPC solutions in handle of any angle layout and demonstrate good correction results.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"12 2","pages":"127510T - 127510T-10"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139254066","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Establishing a nanoimprint lithography ecosystem 建立纳米压印光刻生态系统
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2687092
Hideo Tanaka, Naoki Maruyama, Mitsuru Hiura, Y. Suzaki, Atsushi Kimura, Kiyohito Yamamoto, Takahiro Matsumoto, Kenji Yamamoto, Yukio Takabayashi
{"title":"Establishing a nanoimprint lithography ecosystem","authors":"Hideo Tanaka, Naoki Maruyama, Mitsuru Hiura, Y. Suzaki, Atsushi Kimura, Kiyohito Yamamoto, Takahiro Matsumoto, Kenji Yamamoto, Yukio Takabayashi","doi":"10.1117/12.2687092","DOIUrl":"https://doi.org/10.1117/12.2687092","url":null,"abstract":"Imprint lithography is an effective and well-known technique for replication of nano-scale features. Nanoimprint lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of wide-diameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. Previous studies have demonstrated NIL resolution better than 10nm, making the technology suitable for the printing of several generations of critical memory levels with a single mask. In addition, resist is applied only where necessary, thereby eliminating material waste. Given that there are no complicated optics in the imprint system, the reduction in the cost of the tool, when combined with simple single level processing and zero waste leads to a cost model that is very compelling for semiconductor memory applications. Memory fabrication is challenging, in particular for DRAM, because the roadmap for DRAM calls for continued scaling, eventually reaching half pitches of 14nm and beyond. For DRAM, overlay on some critical layers is much tighter than NAND Flash, with an error budget of 15-20% of the minimum half pitch. For 14nm, this means 2.1-2.8nm. DRAM device design is also challenging, and layouts are not always conducive to pitch dividing methods such as SADP and SAQP. This makes a direct printing process, such as NIL attractive solution. Logic is more challenging from a defectivity perspective, often requiring defect levels significantly lower than memory devices that incorporate redundancy. In this paper, we touch on the markets that can be addressed with NIL and also describe the efforts to further improve NIL performance. We specifically focus on performance improvements related to overlay and defectivity. For overlay, we present the most recent results for cross matched machine overlay and single machine overlay. For defectivity, we review random defect generation and particle adders. We then move on to discuss the technologies being introduced to establish a robust ecosystem for NIL. Topics include pattern transfer, simulation and data analytics designed to shorten cycles of learning. As a final topic, we describe Canon’s interests in fabrication beyond traditional advanced semiconductor devices.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"34 1","pages":"127510D - 127510D-11"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139251003","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Towards fast ptychography image reconstruction of EUV masks by deep neural networks 利用深度神经网络实现超紫外掩膜的快速分色图像重建
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2685227
Paolo Ansuinelli, Benjamín Béjar Haro, Y. Ekinci, I. Mochi
{"title":"Towards fast ptychography image reconstruction of EUV masks by deep neural networks","authors":"Paolo Ansuinelli, Benjamín Béjar Haro, Y. Ekinci, I. Mochi","doi":"10.1117/12.2685227","DOIUrl":"https://doi.org/10.1117/12.2685227","url":null,"abstract":"Extreme ultraviolet (EUV) mask metrology and inspection is crucial to evaluate the quality of devices realized by EUV lithography and to obtain the required yield. Actinic (i.e., at wavelength λ = 13.5 nm) mask inspection is particularly essential, as this wavelength ensures an imaging resolution and overall imaging physics that matches the operative condition of the lithography scanner. In recent years, various groups have explored coherent diffractive imaging (CDI), and particularly ptychography, as a candidate method for actinic EUV mask inspection. The simplicity of the ptychography approach, the absence of expensive lenses, and the possibility to image both amplitude and phase structures make this method particularly appealing. Despite these advantages, ptychography suffers from throughput limitations dictated by both the long data acquisition process and the time–demanding phase retrieval step. While the former challenge can be mitigated by advancements on source brilliance and detector technology, the latter clearly demands improvements on the algorithmic front. In this paper, we present our recent results on the study of deep learning as a means to achieve fast, high quality, and phase-sensitive reconstruction of aerial images of EUV masks, given the acquired data and the abundant a–priori information on the geometrical layout and chemical composition of the samples. We show that, once trained, the selected Deep Neural Network (DNN) architecture achieves a much faster reconstruction of the sample compared to the standard ptychography approach, while retaining high quality in both magnitude and phase images of the object.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"38 7","pages":"127510Q - 127510Q-9"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139251142","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Experimental investigation of the mask diffraction light blocking with critical-sized Sn particles on the EUV pellicle 用临界尺寸的锡粒子对掩膜衍射光进行遮挡的实验研究
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2687444
Seungchan Moon, Dong gi Lee, J. Choi, Junho Hong, Jinho Ahn
{"title":"Experimental investigation of the mask diffraction light blocking with critical-sized Sn particles on the EUV pellicle","authors":"Seungchan Moon, Dong gi Lee, J. Choi, Junho Hong, Jinho Ahn","doi":"10.1117/12.2687444","DOIUrl":"https://doi.org/10.1117/12.2687444","url":null,"abstract":"Recently, chip makers have selectively adopted the EUV pellicle to mitigate yield reduction caused by the particle issue originating from the Sn droplets of a laser-produced plasma source. However, other research groups have proposed the critical size of particles based on simulated data with illumination pupil control, underscoring the necessity of experimental investigation using an optical system that emulates that of an EUV scanner. In this study, we demonstrate the effect of a particle on the pellicle on diffracted light shape and investigate its impact on mask imaging performance. This investigation was conducted through pellicle imaging using Sn particle-patterned pellicles and a coherent scattering microscope, which is an actinic inspection tool that uses a coherent harmonic source. As a result, we confirmed that the light intensity decreased to 4.031%, and the scattered light spread up to 146.01% when a 10 µm-sized particle on the pellicle blocked a specific mask diffraction order. To study this particle's effect on mask imaging performance, pattern images were reconstructed from particle-affected diffraction patterns using a phase retrieval algorithm. Eventually, a critical dimension variation of 51.15 nm and a pattern shift of 48.57 nm were confirmed with a 200 nm critical dimension of the mask pattern, as a result of particle-induced spatial frequency and phase variation. Therefore, the critical defect size of the pellicle should be determined based on the most severe lithographic variations resulting from the mask diffraction light blocked by the particles.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"118 7","pages":"127500Z - 127500Z-5"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139251935","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Advanced development for contact-holes of metal-oxide resists 先进的金属氧化物抗蚀剂接触孔开发技术
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2687434
C. Dinh, S. Nagahara, Kanzo Kato, S. Kawakami, Yuhei Kuwahara, Soichiro Okada, Kayoko Cho, Hikari Tomori, Junji Nakamura, Shoichi Terada, M. Muramatsu, Alexandra Krawicz, Kathleen McInerney, N. Antonovich, L. Huli
{"title":"Advanced development for contact-holes of metal-oxide resists","authors":"C. Dinh, S. Nagahara, Kanzo Kato, S. Kawakami, Yuhei Kuwahara, Soichiro Okada, Kayoko Cho, Hikari Tomori, Junji Nakamura, Shoichi Terada, M. Muramatsu, Alexandra Krawicz, Kathleen McInerney, N. Antonovich, L. Huli","doi":"10.1117/12.2687434","DOIUrl":"https://doi.org/10.1117/12.2687434","url":null,"abstract":"One of the key steps in the pattern formation chain of extreme ultraviolet (EUV) lithography is the development process to resolve the resist pattern after EUV exposure. A simple traditional development process might be insufficient to clear the holes in contact-hole (CH) patterns and often causes missing hole defects around target-CD. In prior papers, a new development method named ESPERT™ (Enhanced Sensitivity develoPER Technology™) has been introduced to improve the performance of metal oxide-resists (MOR) for line/space (L/S) and pillar patterns. ESPERT™ as a chemical super resolution technique effectively apodized the MOR chemical image, improving chemical gradient and reducing scums. In this work, this development technique was optimised for CH patterns to reduce both the local CD uniformity (LCDU) and to reduce the levels of missing contact holes at a lower exposure dose. This is made possible thanks to the capability of the updated version of ESPERT™ that can effectively remove the scums (resist residues) inside CH to extend the missing hole defect margins. The high development contrast of the new development technique results also in a much higher exposure latitude. Using 0.33 NA EUV scanners on 36-nmpitch hexagonal patterns, the new development enhanced exposure latitude (EL), failure free latitude (FFL), and failure free dose ranges at both ADI (after development inspection) and AEI (after etch inspection) for two diverse types of MORs. For instance, in the case of the reference MOR developed by ESPERT™, CHs were nicely transferred to a TiN layer, even for small CD holes of 14.7 nm. If compared to the data by conventional development, using the new method, the EL was increased from 16.0% to 49.1%, the FFL was extended from 2 nm to 6 nm, and the failure free dose range was increased from 13.3% to 72.2%. It was also possible to have EUV dose-to-size (DtS) of 28 mJ/cm² with EL of 49.9% at ADI, using the new development. With all those advantages, this new development method is expected to be the solution for CH pattern formation of negative tone MORs in EUV lithography.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"60 S1","pages":"1275009 - 1275009-13"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139252259","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Optimizing CD-SEM metrology for anamorphic high-NA EUV photomasks 优化用于拟态高NA EUV 光掩膜的 CD-SEM 计量技术
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2687660
Deepan Kishore Kumar, Varun Mohan, Hatsey W. Frezghi, Adam A. Seeger, Malahat Tavassoli, Masayuki Kuribara, Kiyoshi Oura, Wataru Ito, S. Shida, Tatsuro Okawa, Mark A. Sheppard, T. Iwai
{"title":"Optimizing CD-SEM metrology for anamorphic high-NA EUV photomasks","authors":"Deepan Kishore Kumar, Varun Mohan, Hatsey W. Frezghi, Adam A. Seeger, Malahat Tavassoli, Masayuki Kuribara, Kiyoshi Oura, Wataru Ito, S. Shida, Tatsuro Okawa, Mark A. Sheppard, T. Iwai","doi":"10.1117/12.2687660","DOIUrl":"https://doi.org/10.1117/12.2687660","url":null,"abstract":"Anamorphic High-Numerical Aperture (NA) EUV photomask manufacturing presents some unique challenges and opportunities in Critical dimension (CD) Scanning electron microscope (SEM) metrology. Novel methods of beam scanning condition are needed to improve image resolution and reduce image blurring to enable reliable metrology for the curvilinear mask era. Additionally, electron optics stigmation monitoring plays a major role in ensuring the horizontal to vertical (X-Y) CD Average to target (ATT) tool matching is not drifting due to aberrations, which are key for anamorphic EUV mask metrology. In this paper, we show the correlation between offsets in Condenser lens, Aperture balance, and electron beam Stigmation offset and its impact on horizontal and vertical feature CD ATT and CD uniformity measurements. Using Advantest E36xx Scanning electron microscopes we also present preliminary results, from improving measurement repeatability (ATT and CDU) on different mask substrates by incorporating Shadow reduction scanning (SRS), enhanced charge suppression using Charge neutralization technology and modulating dose of the beam (which is a function of scan condition and beam condition) In conclusion, we summarize the key metrology advances needed for next generation CD-SEM tools for High NA EUV photomask metrology, such as automated column optics monitoring, shadow reduction scan, design-based site focusing, high degree of measurement precision better than 0.5 nm, charge mitigation capabilities, high Throughput (TPT), enhanced stage performance accuracy, among others.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"32 8","pages":"127510R - 127510R-10"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139252391","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Research and optimization of electronic charge phenomena on CDSEM imaging of binary photomask 二元光掩膜 CDSEM 成像中电子电荷现象的研究与优化
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2684538
Jiaying Luo, Irene Shi, Brian Zheng, Yuming Gan, Zhuowei Zhang, Tony Ge, Eric Guo
{"title":"Research and optimization of electronic charge phenomena on CDSEM imaging of binary photomask","authors":"Jiaying Luo, Irene Shi, Brian Zheng, Yuming Gan, Zhuowei Zhang, Tony Ge, Eric Guo","doi":"10.1117/12.2684538","DOIUrl":"https://doi.org/10.1117/12.2684538","url":null,"abstract":"CD-SEM is widely used to determine photomask CD performance, SEM image accuracy is crucial for CD measurement. SEM image blurring caused by charging on a type of binary photomask is a major issue we encounter during manufacturing, not only the image form is not clear on Isolated Clear patterns, also obvious CD deviation is observed on Isolated Dark patterns. We investigate into this issue and search for methods to remove residual charge on photomask. We found that these residual charge cannot be removed by electrolyte solutions in cleaning process but will disappear after a few days in fabrication environment. Furthermore, we found these phenomena reappear after UV radiation in close distance or O2 plasma sputtering on the photomask. In this research, we prove that sputtering a mixture gases plasma can effectively eliminate this phenomenon without any negative impact on the binary photomask itself.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"5 1","pages":"1275110 - 1275110-10"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139253455","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Renewing i-line laser mask writers with reduced power consumption and increased productivity 更新 i-line 激光掩膜机,降低功耗,提高生产率
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2687748
Thomas Peoples
{"title":"Renewing i-line laser mask writers with reduced power consumption and increased productivity","authors":"Thomas Peoples","doi":"10.1117/12.2687748","DOIUrl":"https://doi.org/10.1117/12.2687748","url":null,"abstract":"A significant proportion of the world’s photomasks are written by the ALTATM i-line laser mask writers that started shipping in 1994. Many of these masks are used for manufacturing devices in the growing “ICAPS” markets: the Internet of Things, Communications, Automotive, Power and Sensors. Every ALTA i-line writer shipped is still in production due to sustained investments, driven by the motivation to keep fully depreciated equipment running as long as possible. Technology developments recently created the opportunity for a comprehensive renewal of this installed base. A field upgrade package has been developed that replaces the legacy computing systems and electronics with modern equivalents, while substantially reducing the power consumption and significantly increasing productivity. The software-based data path developed for ALTA DUV laser mask writers has been ported to iline, bringing an order of magnitude increase in processing speed for data prep and rasterization compared to the original hardware-based method. The data path accepts MEBES, OASIS and GDSII data formats, and the 33x (0.8 NA) system outputs data on a grid reduced to 0.625 nm. The new data path also provides optionally-enabled compensation for process footprint and pattern-density related CD errors, and the multicore server accounts for a large share of the system speedup. Another improvement adopted from the DUV writer is an axis-parallel, 32-beam brush with a new scan lens, enabling a novel bidirectional writing scheme that minimizes overhead time and contributes to the increased writing speed. The legacy gas laser has been replaced with a solid state, optically pumped semiconductor laser (OPSL) designed to match the original wavelength, reducing system power consumption by about 75%.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"24 6","pages":"127511I - 127511I-8"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139253555","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Factors driving merchant photomask growth and shortages 推动商用光掩膜增长和短缺的因素
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2688593
Leslie B. Dahl, Bud T. Caverly
{"title":"Factors driving merchant photomask growth and shortages","authors":"Leslie B. Dahl, Bud T. Caverly","doi":"10.1117/12.2688593","DOIUrl":"https://doi.org/10.1117/12.2688593","url":null,"abstract":"Merchant photomask companies service the majority of the 14nm and greater nodes globally. These industry important nodes are facing mask supply challenges in the coming decade due to new organic growth and tool obsolescence. The first factor driving photomask volume is the significant wafer capacity being added globally at mid and mature technologies (>=28nm). The semiconductor growth is being driven by macro market trends including a renewed global appreciation of the strategic nature of the semiconductor business. The growth also is the result of new technology innovations like automotive electrification & ADAS, Artificial Intelligence, telecom (5G/6G), IoT, green power, and medical applications. The overall semiconductor business is forecasted to grow upwards of 8% CAGR from 2022 – 2030 1 with a significant portion occurring in Mid and Mature Technologies. This growth will require significant non-leading edge mask capacity. This paper will quantify the growth and the availability of tooling. Historically, photomask equipment makers produced new tools for the “leading edge” and the trailing nodes were serviced by previous generations of advanced photomasks tools. Fortunately, the photomask equipment manufacturers responded to the trailing edge needs and have introduced tools and upgrades to begin addressing this market. The second issue facing the photomask industry is significant equipment obsolescence for the mask tools that support mature technologies. This paper quantifies the obsolescence challenge. The dual factors of new organic growth and tool retirements has created a shortage of mask supply at the mid and mature nodes. There are challenges to add mask capacity to these mature nodes in an economically viable fashion. We believe that cooperation between mask maker, tool suppliers and mask customers is crucial to ensure that the predicted semiconductor growth does not face the risk of being constrained by photomasks.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"61 1","pages":"127510H - 127510H-8"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139250690","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
EUV reticle defectivity: next steps in the EUV scanner and beyond EUV 网罩缺陷:EUV 扫描仪及其他设备的下一步工作
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2682101
Derk Brouns, Christian Cloin, Tahmid Hossain, Elena Nedanovska
{"title":"EUV reticle defectivity: next steps in the EUV scanner and beyond","authors":"Derk Brouns, Christian Cloin, Tahmid Hossain, Elena Nedanovska","doi":"10.1117/12.2682101","DOIUrl":"https://doi.org/10.1117/12.2682101","url":null,"abstract":"Since the introduction of EUV, ASML and its industry partners have continuously improved the reticle defectivity levels in the volume manufacturing flows. In this paper we will show the progress over the years in reticle defectivity performance and what was done to achieve this. Next, an outlook of the defectivity improvements of the next product, NXE:3800 will be given. Finally, on the longer term, it will be shown how defectivity mitigation will be developed in the future platforms. In detail, these future developments extend the defect mitigations from the current cleanliness and flow optimizations further into the electrostatic realm. An overview will be given of the improvements planned in the EUV scanner, and the necessary changes needed on the EUV reticle infrastructure to fully benefit from these improvements. With all changes implemented it will be shown that electrostatic particle control can achieve a reticle defectivity reduction by more than 50%.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"39 1","pages":"127510L - 127510L-8"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139253835","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:604180095
Book学术官方微信