Photomask Technology最新文献

筛选
英文 中文
Rounded-corner aware OPC for convergency and lithography performance improving 圆角感知 OPC,用于提高会聚和光刻性能
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2686228
Ruihua Liu, Fu Li, Chunlong Yu, Jingjing Fan, Yu Mu, Song Sun, Chong Wang, Jiangliu Shi, Qingchen Cao
{"title":"Rounded-corner aware OPC for convergency and lithography performance improving","authors":"Ruihua Liu, Fu Li, Chunlong Yu, Jingjing Fan, Yu Mu, Song Sun, Chong Wang, Jiangliu Shi, Qingchen Cao","doi":"10.1117/12.2686228","DOIUrl":"https://doi.org/10.1117/12.2686228","url":null,"abstract":"Mask corner rounding refers to the unintentional rounding deviation of sharp corners or edges during the mask making process, that is caused by the inherent limitations of the e-beam exposure system, such as beam blur, proximity effects, and the resist exposure process. It can have significant consequences on the lithography of chip manufacturing. This article compares the mask corner rounding behavior under different electron beam sizes and presents a novel Optical Proximity Correction (OPC) approach that incorporates mask corner rounding for various dimensional rectangular shapes, named Rounded Corner Aware OPC (RC-OPC). Contrasting with traditional OPC that rely on a single value for simulating mask corner rounding, this innovative OPC approach delivers substantial advantages including increased accuracy, exceptional lithographic performance, and better pattern fidelity, leading to a more dependable and robust process.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"67 3","pages":"1275115 - 1275115-8"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139251848","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Improving overlay performance through enhanced stage positioning accuracy 通过提高舞台定位精度改善叠加性能
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2687910
James Prince, Victor David, Diana Poullos, L. Zurbrick
{"title":"Improving overlay performance through enhanced stage positioning accuracy","authors":"James Prince, Victor David, Diana Poullos, L. Zurbrick","doi":"10.1117/12.2687910","DOIUrl":"https://doi.org/10.1117/12.2687910","url":null,"abstract":"Stage positioning accuracy in mask writers and metrology equipment is typically stated as a single number by equipment manufacturers (average plus 3-sigma value). The error budget that constitutes this specification is seldom discussed with equipment purchasers and is usually confined to the equipment manufacturer and their suppliers. Interferometer subsystems consist of multiple technical disciplines including stable wavelength laser technology, optomechanics (interferometers), detectors, electronics, and algorithms (phase measurement and interface electronics). Each technology has significantly advanced since the introduction of laser interferometry on mask and wafer lithography and metrology equipment. This paper discusses the error budget related to the interferometry subsystem and possible improvements to this subsystem going forward.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"72 10","pages":"127511G - 127511G-6"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139253884","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Providing solutions for replacement of legacy tools with advanced features 提供解决方案,用先进功能取代传统工具
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2686670
Hideaki Hamada, K. Egami, S. Kanai, Atma R. Gupta, Shingo Murakami, K. Nakanishi, K. Matsumura, A. K. Acharya
{"title":"Providing solutions for replacement of legacy tools with advanced features","authors":"Hideaki Hamada, K. Egami, S. Kanai, Atma R. Gupta, Shingo Murakami, K. Nakanishi, K. Matsumura, A. K. Acharya","doi":"10.1117/12.2686670","DOIUrl":"https://doi.org/10.1117/12.2686670","url":null,"abstract":"Since several years, there has been continuous focus on legacy tools for mask making and the critical requirements to address the end of life of equipment which has been workhorse for volume production. Toppan Photomask Company, Ltd. (TPC) has presented several papers on this subject and brought this to attention to many equipment manufacturers and other mask shops who have also shown keen interest to support the manufacturers who are willing to take up this task to provide solutions. HTL Co. Japan Ltd. And V Technology Japan has teamed up to manufacture equipment for the semiconductor mask making legacy tools and one such example is successfully manufacturing the mask repair system with support from TPC. Mask inspection, Registration, FIB repair and others also being addressed, and one attractive feature is to give an opportunity to enhance the performance of these new replacement tools by using AI software for defect classification for the system. We will discus our development process and capabilities served for legacy tool replacement.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"5 2","pages":"127510J - 127510J-6"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139251348","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Innovative applications: extending photomask registration tool for critical dimension measurement to achieve high efficiency 创新应用:扩展光罩套准工具,用于关键尺寸测量,实现高效率
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2687005
Yifei Yu, Leo Zeng, Kevin Wang, Xavier Chen, Christian Holl, Claire Lu, Phil Cha, Vic Chang, Robert Tsai, Jerry Wei, Lynne Yuan
{"title":"Innovative applications: extending photomask registration tool for critical dimension measurement to achieve high efficiency","authors":"Yifei Yu, Leo Zeng, Kevin Wang, Xavier Chen, Christian Holl, Claire Lu, Phil Cha, Vic Chang, Robert Tsai, Jerry Wei, Lynne Yuan","doi":"10.1117/12.2687005","DOIUrl":"https://doi.org/10.1117/12.2687005","url":null,"abstract":"In the semiconductor industry, photomask quality depends on various aspects including critical dimension (CD), overlay to other layers, defects, etc. Conventionally, photomask metrology tasks are performed by separate tools. For example, KLA LMS IPRO is used for registration, while CD-SEM or optical microscope is used for CD measurements. However, current CD tools have time-consuming measurements and difficulties to providing spatial CD variation across the photomask. To address these challenges, LMS IPRO tool, originally designed for registration measurement, provides a portable and fast CD measurement solution. The feasibility of CD measurement on LMS IPRO comes from its image processing mechanism. Algorithms analyze the intensity of captured images to figure out the pattern edges. The calculated CD commonly has deviation to the actual CD due to the unknown edge intensity threshold. Thus, we fed LMS IPRO the actual CD to do calibration before using this function in production scenarios. The calibrated CD ranges from 0.5μm to 13μm, which covers the mature technology node product sizes at Quanyi Mask Optoelectronic Technology Co. Ltd (QYMask). Verification results proved that LMS IPRO meets QY Mask’s mature-node mask CD measurement specifications. Therefore, it could (a) be temporary substitution in case optical CD tool is down, (b) concurrently measure CD and registration, (c) provide fast pre-check of CD uniformity.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"1 1","pages":"127510S - 127510S-9"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139250708","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
PEC-aware MPC for CD quality improvement 提高 CD 质量的 PEC 感知 MPC
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2687866
Soeun Shin, Boram Lee, Sukho Lee, E. Kim, Mina Kim, Jin Choi, Sanghee Lee, Yutaro Sato, Ahmad Syukri, Itaru Ono, Yohei Torigoe
{"title":"PEC-aware MPC for CD quality improvement","authors":"Soeun Shin, Boram Lee, Sukho Lee, E. Kim, Mina Kim, Jin Choi, Sanghee Lee, Yutaro Sato, Ahmad Syukri, Itaru Ono, Yohei Torigoe","doi":"10.1117/12.2687866","DOIUrl":"https://doi.org/10.1117/12.2687866","url":null,"abstract":"Extreme Ultraviolet (EUV) mask has Critical Dimension (CD) errors from various kinds of sources. Those errors are controlled for and corrected by proper correction methods such as fogging effect correction (FEC), loading effect correction (LEC), proximity effect correction (PEC), mask process correction (MPC) and so on. The corrections are mostly done independently. For example, conventionally CD nonlinearity has been the scope of mask process correction (MPC) and proximity effect has been that of proximity effect correction (PEC) because the interaction range considered is different from each other. But in order to improve the CD quality, we may need to consider the residual errors of PEC in MPC as well. For this purpose, we evaluated a new MPC method, named PEC-aware MPC, which considers writer's internal PEC for both model optimization and correction.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"44 5","pages":"127510V - 127510V-6"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139251924","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Efficient representation of full mask density maps in advanced mask data preparation flows 在高级掩膜数据制备流程中高效呈现全掩膜密度图
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2687511
Rachit Sharma, Ingo Bork, Archana Rajagopalan, Kushlendra Mishra, Mary Zuo
{"title":"Efficient representation of full mask density maps in advanced mask data preparation flows","authors":"Rachit Sharma, Ingo Bork, Archana Rajagopalan, Kushlendra Mishra, Mary Zuo","doi":"10.1117/12.2687511","DOIUrl":"https://doi.org/10.1117/12.2687511","url":null,"abstract":"In this paper, we present the development of a new Multi-PNG (mPNG) format for efficient representation of full mask spatial maps (density map, dose map and/or geometric property map). The mPNG consists of multiple independent, interconnected and spatially non-overlapping PNG files, where each PNG file contains the density map for a unique section of the mask area (such that the full mask area is covered through the assembly of all files). Further, the paper will present mPNG file sizes and generation runtimes on full mask data for varying density map grid sizes that are relevant for correcting long range mask process effects in the sub-micron to millimeter range.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"1 1","pages":"127511C - 127511C-5"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139252546","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Identifying new absorber materials for EUV photomasks 确定用于 EUV 光掩膜的新型吸收材料
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2686935
Rebecca D. Stern, Michael Grimbergen, Jeff Chen, Rao Yalamanchili
{"title":"Identifying new absorber materials for EUV photomasks","authors":"Rebecca D. Stern, Michael Grimbergen, Jeff Chen, Rao Yalamanchili","doi":"10.1117/12.2686935","DOIUrl":"https://doi.org/10.1117/12.2686935","url":null,"abstract":"As semiconductor device fabrication moves towards 2 nm technology nodes with EUV lithography, new EUV absorber materials will be needed to replace the current Ta-based EUV photomasks. The industry is looking for new absorber materials with a low refractive index (n) and a high extinction coefficient (k), to produce an attenuated phase-shift EUV photomask capable of minimizing 3D effects. The challenge is that these new materials are often difficult to etch. To identify the etching pathway for new EUV material candidates, this paper proposes the approach of thermodynamic characterization for various chemistries as etching byproducts. The Gibbs free energy of formation for these compounds can be collected at standard state conditions, so the potential for such chemical reactions can be evaluated. Meanwhile, the volatility of these reaction products can be estimated by the respective boiling points, which can be calculated from respective heats of vaporization at reduced pressures typically found in a plasma etch chamber. Collectively, this information can help to screen for new low-n / high-k absorber materials, to focus the selection only to candidates with potential etching feasibilities.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"66 7-8","pages":"1275118 - 1275118-9"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139252710","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
EUV pellicle technology for high volume wafer production 用于大批量晶圆生产的 EUV 粒子技术
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2688127
Yun-Yao Lin, Pei-Hsun Tsai, Kelvin Elphick, Ching-Ho Hsu, Dino K. L. Shieh, Feng Hao Chang, James C. C. Huang, Jerry C. Y. Chen, Vincent C. W. Wen
{"title":"EUV pellicle technology for high volume wafer production","authors":"Yun-Yao Lin, Pei-Hsun Tsai, Kelvin Elphick, Ching-Ho Hsu, Dino K. L. Shieh, Feng Hao Chang, James C. C. Huang, Jerry C. Y. Chen, Vincent C. W. Wen","doi":"10.1117/12.2688127","DOIUrl":"https://doi.org/10.1117/12.2688127","url":null,"abstract":"EUV lithography is a key technology in the semiconductor industry and using pellicle that protects reticle from contamination during lithography process has become increasingly important. In this paper, different types of pellicles showing 82~>95% EUV transmittance and wafer moves 5K~50k under different transmittances, scanner powers and resists have been demonstrated for high volume wafer production at this work. For pellicle particle quality, we developed new inspection tool to achieve >1um pinhole-free and with significant defectivity reduction compared to previous one. Almost no fall-on rate is validated for real wafer production. Furthermore, this work develops full infrastructure, which include pellicle-making, pellicle-mounting and through pellicle inspection are validated to provide simple and robust production capability. Our EUV pellicle frame design shows fully compatibility with advanced 193nm reticle pelliclemounting process. Now previous EUV pellicle solution is ready to support advanced nodes application. The other key indices for high wafer throughput and cost reduction are high pellicle transmittance and durability. The pellicle optical performances (EUV transmittance, EUVT uniformity and EUV reflectance) are comparable to the previous solution. Moreover, no negative effects on wafer critical dimension uniformity (CDU) regarding to mask with and without pellicle mounting. In this work, a high-volume manufacturing (HVM) EUV pellicle with excellent defect and durability have been successfully qualified for the needs of advanced node productions.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"32 6","pages":"127500N - 127500N-6"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139253396","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Development of thermal emissivity calculation method for transmissive multilayer nanomembranes 开发透射多层纳米膜的热发射率计算方法
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2686696
Kihun Seong, Yongkyung Kim, Hyeongkeun Kim, Sung Kyu Jang, Sangsul Lee, Jiho Kim, Jaeboong Choi, Hyun-Mi Kim, Seul-Gi Kim, Hyeongkeun Kim
{"title":"Development of thermal emissivity calculation method for transmissive multilayer nanomembranes","authors":"Kihun Seong, Yongkyung Kim, Hyeongkeun Kim, Sung Kyu Jang, Sangsul Lee, Jiho Kim, Jaeboong Choi, Hyun-Mi Kim, Seul-Gi Kim, Hyeongkeun Kim","doi":"10.1117/12.2686696","DOIUrl":"https://doi.org/10.1117/12.2686696","url":null,"abstract":"The power of EUVL (extreme ultraviolet lithography) scanner continues to increase, making the heat dissipation characteristics of EUV pellicles increasingly crucial. The thermal and chemical stability of the EUV pellicles, which have a multilayer thin film structure, relies on the capping layer, and the thermal stability of the capping layer is determined by its emissivity (ε). However, it is challenging to directly measure the ε of an ultrathin film, such as the capping layer of the EUV pellicle. Although a method to obtain the ε of a target material is employed which measure the ε of the whole layer with a target material on a support membrane having low ε, no approach has been proposed to exclude the measurement changes caused by the support membrane. In this study, a methodology for obtaining the ε of a multilayer nanomembrane is proposed. Ruthenium (Ru) with a high ε at nanoscale was deposited on SiNx membranes to have varying thicknesses. The ε of SiNx film and Ru deposited SiNx film were precisely characterized by infrared spectroscopy according to Kirchhoff's law. Based on transfer matrix method (TMM), the ε of Ru layers was theoretically calculated, fitting by DrudeLorentz oscillator model. Finally, reliability was verified by comparing the measurement results through a free-standing membrane without a support. In this way, if the contribution of a single element to the ε of a multilayer or composite membrane can be derived, engineering for a high-emissive layer that combines various components will be possible and used as EUV pellicle and further application research.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"1 2","pages":"127500W - 127500W-5"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139254080","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Direct growth of highly uniform graphite on SiNx/Si through metal induced crystallization of amorphous carbon for EUV pellicle 通过金属诱导无定形碳结晶,在氮化硅/硅上直接生长高度均匀的石墨,用于超紫外粒子
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2687573
Hyeongkeun Kim, J. Jeon, Kihun Seong, Yongkyung Kim, Seul-Gi Kim, Hyun-Mi Kim, Hyeongkeun Kim, Ji-Beom Yoo
{"title":"Direct growth of highly uniform graphite on SiNx/Si through metal induced crystallization of amorphous carbon for EUV pellicle","authors":"Hyeongkeun Kim, J. Jeon, Kihun Seong, Yongkyung Kim, Seul-Gi Kim, Hyun-Mi Kim, Hyeongkeun Kim, Ji-Beom Yoo","doi":"10.1117/12.2687573","DOIUrl":"https://doi.org/10.1117/12.2687573","url":null,"abstract":"Graphite is highly noteworthy for next-generation pellicles due to its high emissivity (>0.3), and Young's modulus (4.1 GPa) which provides high thermal and mechanical stability. The widely used graphite synthesis is chemical vapor deposition on thick metal catalyst, which involves several disadvantages such as hard to control of thickness uniformity and damage during wet-transfer processes. To overcome these problems, we propose a direct synthesis of graphite film(< 30 nm) on insulating substrate at low temperature about 500°C starting from the amorphous carbon (a-C) on catalyst metal film, which is named as graphite-metal induced crystallization of a-C (G-MICA). We finally demonstrate the formation of a graphite with uniform thickness below 30 nm on 8-inch SiNx/Si wafer with an annealing at 500°C for 1 h. In order to reveal the origin of thickness uniformity of G-MICA, we closely observe the microstructure evolution of graphite as a function of annealing temperature (400~800°C) and time (0.25~180 min) using Cs corrected transmission electron microscopy. We believe that the nucleation of graphite starts to form at the interface between Ni and a-C and so vertical growth of graphite is limited by the thickness of Ni, which is somewhat differ from previously reports. To evaluate EUV characteristic, we removed the SiNx layer under the graphite through dry etching as thin as possible and made it in the form of a membrane. The G-MICA pellicle with thickness of 18 nm showed EUV transmittance of 88%, and emissivity of 0.3. Therefore, we confirmed the possibility of low-temperature G-MICA as a pellicle synthesis.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"200 5","pages":"1275017 - 1275017-4"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139254550","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:604180095
Book学术官方微信