Microelectronic Engineering最新文献

筛选
英文 中文
High density nanofluidic channels by self-sealing for metallic nanoparticles detection 用于金属纳米粒子检测的自密封高密度纳米流体通道
IF 2.6 4区 工程技术
Microelectronic Engineering Pub Date : 2024-09-10 DOI: 10.1016/j.mee.2024.112264
{"title":"High density nanofluidic channels by self-sealing for metallic nanoparticles detection","authors":"","doi":"10.1016/j.mee.2024.112264","DOIUrl":"10.1016/j.mee.2024.112264","url":null,"abstract":"<div><p>High density nanofluidic channels were successfully fabricated by a novel process, nicknamed as self-sealing process, for the detection of metal nanoparticles dispersed in water using color changes excited by polarized electromagnetic waves. The permittivities of aqueous solutions with various concentrations of metal nanoparticles were calculated by a corrected plasma model. Systematic simulations using finite difference time domain method were carried out in investigating the detection capabilities of the nanofluidic channels for silver, beryllium and copper nanoparticles in water. The pronounced color shifts indicates that the channels possess high sensitivity in the metal nanoparticles detection. The designed nanofluidic channels were then fabricated by a direct flood deposition of a silica film on a pre-replicated hydrogen silsesquioxan (HSQ) grating using electron beam lithography (EBL). The self-sealing technique possesses advantages in simplified processing, encapsulation free and potential of multi-layer nanochannels.</p></div>","PeriodicalId":18557,"journal":{"name":"Microelectronic Engineering","volume":null,"pages":null},"PeriodicalIF":2.6,"publicationDate":"2024-09-10","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S0167931724001333/pdfft?md5=7919c785cd0adb4939d756d37e60990d&pid=1-s2.0-S0167931724001333-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142163358","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Etch of nano-TSV with smooth sidewall and excellent selection ratio for backside power delivery network 蚀刻出具有光滑侧壁和优异选择率的纳米 TSV,用于背面输电网络
IF 2.6 4区 工程技术
Microelectronic Engineering Pub Date : 2024-09-05 DOI: 10.1016/j.mee.2024.112265
{"title":"Etch of nano-TSV with smooth sidewall and excellent selection ratio for backside power delivery network","authors":"","doi":"10.1016/j.mee.2024.112265","DOIUrl":"10.1016/j.mee.2024.112265","url":null,"abstract":"<div><p>Backside Power Delivery Network (BSPDN) is a crucial technology for integrated circuits at sub-3 nm technology nodes. The primary challenge resides in utilizing nano through silicon via (nano-TSV) to establish connections between the backside power network and buried power rails, thereby facilitating transistor powering. The key technology is to ensure a smooth sidewall morphology and prevent damage to buried power rails (BPR) due to over-etching. In this study, non-Bosch and Bosch techniques are compared using simulation. The results demonstrate that while the non-Bosch technique yields smooth sidewalls, it inevitably leads to over-etching, whereas Bosch effectively avoids over-etching. The etching of scallop-free nano-TSV is achieved by optimizing the Bosch process, which involves the use of inductively coupled plasma (ICP). Finally, metal filling of nano-TSV is successfully achieved. Thus, the nano-TSV etching method is established as viable for BSPDN.</p></div>","PeriodicalId":18557,"journal":{"name":"Microelectronic Engineering","volume":null,"pages":null},"PeriodicalIF":2.6,"publicationDate":"2024-09-05","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142266227","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Development of an emulator of the sustainable energy harvesting pad system on a bike lane for charging lithium batteries 在自行车道上开发用于锂电池充电的可持续能量收集垫系统模拟器
IF 2.6 4区 工程技术
Microelectronic Engineering Pub Date : 2024-08-23 DOI: 10.1016/j.mee.2024.112262
{"title":"Development of an emulator of the sustainable energy harvesting pad system on a bike lane for charging lithium batteries","authors":"","doi":"10.1016/j.mee.2024.112262","DOIUrl":"10.1016/j.mee.2024.112262","url":null,"abstract":"<div><p>In response to the urgent imperative of combating global warming and advancing sustainable energy solutions, an innovative approach has emerged, capitalizing on bicycles and road bike lane infrastructure. This solution integrates a Smart Lithium Battery Charging System with a Sustainable Energy Harvesting Pad (SEHP) designed for cyclists. The SEHP harnesses piezoelectric energy from mechanical vibrations and kinetic energy from lightweight vehicles. It produces clean, renewable electricity as an alternative to traditional power sources. Comprehensive assessments of the SEHP's energy generation performance at various proficiency levels have revealed impressive capabilities. An electronic emulator system is developed to support academic and research communities, simulating scenarios on bike lanes to efficiently charge 36.36 Wh lithium batteries at various cycling proficiency levels. The study involved specific circuit design, seamless integration with the custom Smart Lithium Battery Charging System, and optimization using Microcontroller hardware and software solutions. Practical prototypes verified the emulator's functionality and real-world applicability, making it an authentic replica of the SEHP's outcomes. This innovative technology enhances our understanding of SEHP and enables comparative analysis against other energy sources, contributing to a more sustainable future.</p></div>","PeriodicalId":18557,"journal":{"name":"Microelectronic Engineering","volume":null,"pages":null},"PeriodicalIF":2.6,"publicationDate":"2024-08-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142076794","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Wide scan angle multibeam conformal antenna array with novel feeding for mm-wave 5G applications 采用新型馈电的宽扫描角多波束共形天线阵列,适用于毫米波 5G 应用
IF 2.6 4区 工程技术
Microelectronic Engineering Pub Date : 2024-08-22 DOI: 10.1016/j.mee.2024.112261
{"title":"Wide scan angle multibeam conformal antenna array with novel feeding for mm-wave 5G applications","authors":"","doi":"10.1016/j.mee.2024.112261","DOIUrl":"10.1016/j.mee.2024.112261","url":null,"abstract":"<div><p>This paper presents a low-profile wide scan angle multibeam conformal antenna array system with a novel feeding network for <span><math><mn>28</mn></math></span> GHz mm-wave 5G applications. The proposed antenna system utilizes two conventional branch-line couplers as its beamforming network. A novel feeding technique is applied to generate <span><math><mn>7</mn></math></span> beams with these couplers that are usually capable of generating <span><math><mn>2</mn></math></span> beams. The proposed solution provides a wide scanning range with a minimum realized gain of <span><math><mn>5</mn></math></span> dBi from <span><math><mo>−</mo><msup><mn>90</mn><mo>°</mo></msup></math></span> to <span><math><msup><mn>90</mn><mo>°</mo></msup></math></span> owing to this feeding approach and the peculiar placement of the array elements on a <span><math><mn>0.15</mn></math></span> mm thick R-F775 bendable substrate. The generated beams at their steer direction have the minimum and maximum gain values of <span><math><mn>6.5</mn></math></span> dBi and <span><math><mn>9.7</mn></math></span> dBi, respectively. A low-cost PCB manufacturing technique based on soft lithography and wet etching is used. The system dimensions excluding extra connector sections are <span><math><mn>67</mn><mo>×</mo><mn>15</mn><mo>×</mo><mn>3</mn><mspace></mspace><msup><mi>mm</mi><mn>3</mn></msup></math></span>. The proposed flexible design is suitable for lightweight 5G communication systems and handsets with its compact low-complexity beamforming network, and wide <span><math><msup><mn>180</mn><mo>°</mo></msup></math></span> continuous covering angle.</p></div>","PeriodicalId":18557,"journal":{"name":"Microelectronic Engineering","volume":null,"pages":null},"PeriodicalIF":2.6,"publicationDate":"2024-08-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142083477","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Development of an ultra-clean sample heating stage for thermal desorption spectroscopy 开发用于热解吸光谱仪的超洁净样品加热台
IF 2.6 4区 工程技术
Microelectronic Engineering Pub Date : 2024-08-20 DOI: 10.1016/j.mee.2024.112257
{"title":"Development of an ultra-clean sample heating stage for thermal desorption spectroscopy","authors":"","doi":"10.1016/j.mee.2024.112257","DOIUrl":"10.1016/j.mee.2024.112257","url":null,"abstract":"<div><p>Control of surface molecular contamination (SMC) for components used in chemical vapor deposition (CVD), atomic layer deposition (ALD) and EUV photolithography is important to maintaining high yield and optimal tool operation at the latest process nodes in leading edge semiconductor manufacturing. High temperature thermal desorption spectroscopy (TDS) is a versatile tool for analyzing the cleanliness of surfaces, simulating thermal vacuum processes and studying the kinetics of desorption processes. A basic analysis of TD spectra allows for full characterization of volatile outgassing from surfaces, while detailed analysis can provide chemical information about the substrate surface.</p><p>In fundamental studies, TDS is often carried out from low temperatures to room temperature or for small samples. However, for microelectronics applications, high temperature studies of large (100 mm or greater) samples are of greater interest due to direct applications for cleanliness testing and thermal vacuum simulation. A limitation for TDS sensitivity is the outgassing of sample stage materials, particularly when analyzing gases that may be present in the chamber background such as water, CO and CO<sub>2</sub>. Typical sample stages are often tested only for total pressure or at room temperature.</p><p>In this study, we present a simple ultra-high vacuum (UHV) compatible sample heating stage for trace outgassing analysis of 100 mm samples at high temperatures. Simulation results are presented to support the feasibility of the concept. Experimental results verify the cleanliness of the stage via room temperature residual gas analysis (RGA) analysis and X-ray photoelectron spectroscopy (XPS) of stage components. Finally, use of this stage in a TDS analysis of a 100 mm Si witness wafer and comparison to room temperature RGA demonstrates operational capability.</p><p>The sample heating stage is both shown to be clean at high temperature and capable of analyzing 100 mm wafers to higher sensitivity than room temperature RGA for all <em>m</em>/<em>z</em> at the 1 × 10<sup>−9</sup> mbar level. Despite its high performance, the heating stage is also easily produced by any laser machining service, greatly improving the accessibility of UHV science for all researchers.</p></div>","PeriodicalId":18557,"journal":{"name":"Microelectronic Engineering","volume":null,"pages":null},"PeriodicalIF":2.6,"publicationDate":"2024-08-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142044453","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Nanocomposite filled slots that enhance radiation of flexible nonagon antenna 增强柔性非四边形天线辐射的纳米复合材料填充槽
IF 2.6 4区 工程技术
Microelectronic Engineering Pub Date : 2024-08-20 DOI: 10.1016/j.mee.2024.112258
{"title":"Nanocomposite filled slots that enhance radiation of flexible nonagon antenna","authors":"","doi":"10.1016/j.mee.2024.112258","DOIUrl":"10.1016/j.mee.2024.112258","url":null,"abstract":"<div><p>The new radiator incorporated with nanocomposites improve radiation characteristics of nonagon shaped antenna. The design comprise two nanocomposite materials loaded in slots that separately enhance lower and upper band radiation. The CPW antenna consists of nonagon shaped ring with heptagon radiating element that consists of inverted U and rigid shaped slots. The longer slot has been deliberately chosen to accommodate mid-frequency of two resonance frequencies and shorter slot isolates surface current distributed along radiating patch, left and right side. The Poly (3, 4 ethyelene dioxythiophene): Polystyrene Sulfonate-Silver nanowire (PEDOT:PSS-AgNW) nanocomposite filled in shorter slot improves gain, bandwidth and return loss of upper band, magnetite - Polyaniline (Fe<sub>3</sub>O<sub>4</sub>-PANI) filled in longer slot enhance lower band. The measured result proved to improve bandwidth, gain, radiation efficiency and polarization of lower, upper band. The flexible attributes of radiator studied extensively by wearable application by placing them on wrist and jeans. The fabricated antenna produce a bandwidth of 2.12–3.29 GHz in lower band, 4.51–6.00 GHz in upper band for 2.40/5.20/5.80 GHz WLAN, 2.50/5.50 GHz WiMAX, 2.40/4.90/5.20/5.50/5.80 GHz WiFi, 5G SUB-6 GHz and ISM bands.</p></div>","PeriodicalId":18557,"journal":{"name":"Microelectronic Engineering","volume":null,"pages":null},"PeriodicalIF":2.6,"publicationDate":"2024-08-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142097213","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Etch characteristics of cobalt thin films using high density plasma of CH3COCH3/Ar gas mixture 使用 CH3COCH3/Ar 混合气体的高密度等离子体蚀刻钴薄膜的特性
IF 2.6 4区 工程技术
Microelectronic Engineering Pub Date : 2024-08-18 DOI: 10.1016/j.mee.2024.112260
{"title":"Etch characteristics of cobalt thin films using high density plasma of CH3COCH3/Ar gas mixture","authors":"","doi":"10.1016/j.mee.2024.112260","DOIUrl":"10.1016/j.mee.2024.112260","url":null,"abstract":"<div><p>Co thin films masked with SiO<sub>2</sub>/Si<sub>3</sub>N<sub>4</sub> layers were etched using a high-density plasma of a CH<sub>3</sub>COCH<sub>3</sub>/Ar gas mixture. As the concentration of CH<sub>3</sub>COCH<sub>3</sub> increased, the etch rate of the Co thin films and etch selectivity decreased. Optimal etch profiles of the Co films without redeposition were achieved owing to the formation of Co compounds and a passivation layer, which facilitated a high degree of anisotropy. Moreover, the etch characteristics of the Co films were examined using the ICP RF power, dc-bias voltage to the substrate, and process pressure. The active species in plasmas and Co compounds formed during etching were investigated using optical emission spectroscopy and X-ray photoelectron spectroscopy. Finally, the Co thin films patterned with 300 nm lines were etched using a CH<sub>3</sub>COCH<sub>3</sub>/Ar gas mixture under optimized etch conditions. The findings suggest that a CH<sub>3</sub>COCH<sub>3</sub>/Ar gas mixture can serve as an effective etch gas for fabricating dry-etched Co thin films.</p></div>","PeriodicalId":18557,"journal":{"name":"Microelectronic Engineering","volume":null,"pages":null},"PeriodicalIF":2.6,"publicationDate":"2024-08-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142021331","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Realization of all-optical logic gates using MIM waveguides and a rectangular ring resonator 利用 MIM 波导和矩形环谐振器实现全光学逻辑门
IF 2.6 4区 工程技术
Microelectronic Engineering Pub Date : 2024-08-17 DOI: 10.1016/j.mee.2024.112259
{"title":"Realization of all-optical logic gates using MIM waveguides and a rectangular ring resonator","authors":"","doi":"10.1016/j.mee.2024.112259","DOIUrl":"10.1016/j.mee.2024.112259","url":null,"abstract":"<div><p>In this study, all-optical OR, exclusive OR (XOR), NOR, XNOR, AND, NAND, and NOT logic gates using metal-insulator-metal (MIM) waveguides with a rectangular ring resonator are designed and analyzed. The structure has a silver plate with three input waveguides, one output waveguide, and a rectangular ring resonator. One of the input ports is used as a control port. The finite-difference time-domain (FDTD) method is utilized to obtain the optical spectrum of the proposed structures. To realize all-optical logic gate properties of the designed structures, optical signals with the same phase or different phases are passed through the waveguides. Transmission spectrum (T), contrast ratio (CR), and modulation depth (MD) parameters are obtained to determine the performances of all-optical logic gates. To determine the logic 1 (ON) and logic 0 (OFF) states of the output ports, the threshold transmission value is accepted as 0.23 for all-optical logic gates. For the proposed designs, the highest transmission, contrast ratio, and modulation depth values are 217%, 6.75 dB, and 100%, respectively. The structure also supports a data rate of 24 Tb/s. The designed optical logic gates have valuable features for developing high-performance optical devices.</p></div>","PeriodicalId":18557,"journal":{"name":"Microelectronic Engineering","volume":null,"pages":null},"PeriodicalIF":2.6,"publicationDate":"2024-08-17","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142021332","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Spectroscopic investigation of oxidation in GaSe 2D layered materials GaSe 二维层状材料中的氧化光谱研究
IF 2.6 4区 工程技术
Microelectronic Engineering Pub Date : 2024-08-11 DOI: 10.1016/j.mee.2024.112256
{"title":"Spectroscopic investigation of oxidation in GaSe 2D layered materials","authors":"","doi":"10.1016/j.mee.2024.112256","DOIUrl":"10.1016/j.mee.2024.112256","url":null,"abstract":"<div><p>GaSe, a two-dimensional layered metal monochalcogenide, has recently attracted growing interest due to its unique electronic properties and potential technological applications. In this study, we investigate the oxidation mechanisms and properties of GaSe exposed to air for different durations, with the intensive use of Raman spectroscopy, combined with atomic force microscopy (AFM), photoluminescence (PL), and X-ray photoelectron spectroscopy (XPS). Raman analysis reveals the oxidation of GaSe, resulting in the formation of a thin layer comprising Ga<sub>2</sub>Se<sub>3</sub>, Ga<sub>2</sub>O<sub>3</sub>, and amorphous selenium. Utilizing these signatures, oxidation is then tracked. Raman spectroscopy reveals that GaSe layer becomes oxidized almost immediately after exposure to air. However, the oxidation is a self-limiting process, taking roughly 15 min to construct an 8 Å thick layer of Ga₂O₃. XPS analysis shows a good agreement with Raman analysis. The polarized Raman study suggests that the Ga₂Se₃ and Ga₂O₃ layers tend to reach an oriented structural state over time. In ambient conditions, the intensity of all Raman modes and the luminescence decreases, linked to reduction in GaSe thickness. By using various Raman excitation wavelengths, we highlight the depth-dependent oxidation dynamics in this 2D layered GaSe material.</p></div>","PeriodicalId":18557,"journal":{"name":"Microelectronic Engineering","volume":null,"pages":null},"PeriodicalIF":2.6,"publicationDate":"2024-08-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141998500","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Extreme silicon thinning for back side power delivery network: Si thinning stopping on scaled SiGe etch stop layer 用于背面输电网络的极端硅减薄:在按比例硅锗蚀刻停止层上停止硅减薄
IF 2.6 4区 工程技术
Microelectronic Engineering Pub Date : 2024-07-24 DOI: 10.1016/j.mee.2024.112246
{"title":"Extreme silicon thinning for back side power delivery network: Si thinning stopping on scaled SiGe etch stop layer","authors":"","doi":"10.1016/j.mee.2024.112246","DOIUrl":"10.1016/j.mee.2024.112246","url":null,"abstract":"<div><p>This paper discusses the challenges relative to the silicon thinning which allows the back side power delivery integration (BSPDN). The back side silicon thinning stopping on a thin Si<sub>0.75</sub>Ge<sub>0.25</sub> etch stop layer (ESL) has been investigated as it represents an alternative to the use of SOI wafers. Etch stop layers using 10 nm Si<sub>0.75</sub>Ge<sub>0.25</sub> or 10 nm Si<sub>0.75</sub>Ge<sub>0.25</sub> boron doped (Si<sub>0.75</sub>Ge<sub>0.25</sub>:B) have been studied for which different thinning process sequences were considered. All the considered thinning sequences are terminated with a diluted ammonia (NH<sub>4</sub>OH) process which provides the selectivity towards the ESL. Considering a 10 nm Si<sub>0.75</sub>Ge<sub>0.25</sub>:B as an ESL considerably increases the selectivity of the last diluted NH<sub>4</sub>OH silicon etching step. It nevertheless induces a risk of device poisoning caused by the diffusion of boron. Considering a 10 nm Si<sub>0.75</sub>Ge<sub>0.25</sub> as an ESL has been then demonstrated using different thinning process sequences. Those alternative thinning sequences were optimized with respect to the silicon removal within wafer uniformity.</p></div>","PeriodicalId":18557,"journal":{"name":"Microelectronic Engineering","volume":null,"pages":null},"PeriodicalIF":2.6,"publicationDate":"2024-07-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141785021","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信