{"title":"EUVL: the natural evolution of optical microlithography","authors":"B. Geh","doi":"10.1117/12.2515791","DOIUrl":"https://doi.org/10.1117/12.2515791","url":null,"abstract":"EUV Lithography is ready for High Volume Production, further enabling the printing of ever smaller features. In this keynote, the author will briefly reflect on evolution and future of digital technologies. An overview of the development and major milestones of Lithography lenses – with aberrations being a main focus – from the mid 1990 to today will be presented. Other aspects – like mask 3D effects, Source Mask Optimization, High NA EUV and Stochastic will be discussed.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"122 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-10-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133938186","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Printability study of EUV double patterning for CMOS metal layers","authors":"D. D. Simone, G. Vandenberghe","doi":"10.1117/12.2515603","DOIUrl":"https://doi.org/10.1117/12.2515603","url":null,"abstract":"The impending introduction of EUV lithography into high volume manufacturing at the 7 nm CMOS technology node promises the fulfilment of more than three decades of research and development. However, printing defect-free photoresist features with k1 < 0.4 or line-space pitch < 34 nm using 0.33 NA exposure tools is proving more challenging than originally anticipated. With the introduction timeline of 0.55 NA exposure tools currently unclear, it is necessary to develop EUV multiple patterning strategies for < 34 nm pitch metal layers which are needed to continue area scaling in future 5 nm and/or 3 nm technology nodes. Pursuing EUV-SADP strategies necessitates electrically undesirable dummification of metal wires and the employment of 2 additional masks for self-aligned cutting/blocking of wiring features which may prove cost prohibitive. Therefore, in this study we explore the printability in photoresist of two color EUV LELE or (litho-etch)2 patterns which may be further developed into self-aligned LELE patterning methods. We experimentally examine the impact of image and resist tonality on the printability of minimum line and space for metal wire features. We evaluate the printability of these features based on LCDU, LER, LWR and stochastics defects. Additionally, as EUV exposure time per mask is expected to be a major cost contributor, we quantitatively determine the impact of resist photo-speed on the printability of these two color LELE features.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"2009 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131826172","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
T. Kozawa, Teppei Yamada, A. Nakajima, Y. Muroya, J. Santillan, T. Itani
{"title":"Analysis of line-and-space patterns of ZrO2 nanoparticle resist on the basis of EUV sensitization mechanism","authors":"T. Kozawa, Teppei Yamada, A. Nakajima, Y. Muroya, J. Santillan, T. Itani","doi":"10.1117/12.2515133","DOIUrl":"https://doi.org/10.1117/12.2515133","url":null,"abstract":"Metal oxide nanoparticle resists are promising materials for highly-resolving high-throughput patterning. However, their performance is still inadequate for the application to the production of semiconductor devices. In this study, the dependence of the relationship between chemical gradient and line width roughness (LWR) on the pattern duty, acid generator, and developer was investigated using a zirconia (ZrO2) nanoparticle resist. The line-and-space patterns of ZrO2 nanoparticle resists were analyzed on the basis of the EUV sensitization mechanism. LWR was roughly inversely proportional to the chemical gradient. The proportionality constant decreased with the increase of the ratio of nominal space width to the nominal line width. The proportionality constant for n-butyl acetate was smaller than that for an alternative developer with a high polarity. The proportionality constant decreased by the addition of an acid generator. The improvement of dissolution process and the suppression of secondary electron migration are essential to the suppression of LWR in the ZrO2 nanoparticle resist.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"519 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-06-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134099722","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
R. Capelli, M. Dietzel, D. Hellweg, M. Koch, G. Kersteen, K. Gwosch, D. Pagel
{"title":"Actinic metrology platform for defect review and mask qualification: flexibility and performance","authors":"R. Capelli, M. Dietzel, D. Hellweg, M. Koch, G. Kersteen, K. Gwosch, D. Pagel","doi":"10.1117/12.2518596","DOIUrl":"https://doi.org/10.1117/12.2518596","url":null,"abstract":"The strong effort to push further Moore’s law is driving the insertion of EUV pilot production at several captive and merchant semiconductor vendors, which already today puts strong demands on actinic tools metrology capabilities. The EUV mask infrastructure plays a central role for the successful introduction of EUV into high volume manufacturing: to provide the mask shop with actinic review capabilities, ZEISS and the SUNY Poly SEMATECH EUVL Mask Infrastructure consortium developed and launched an actinic metrology platform based on aerial imaging technology. Over the last few years, it was demonstrated how this aerial image metrology platform fulfills the mask shop requirements for actinic defect review and repair verification. In this paper we present the latest performance achievements of the platform together with the discussion on platform based capabilities for possible future actinic metrology extensions, with a special emphasis on the AIMSTM EUV solution for high-NA emulation capabilities.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"266 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-06-10","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123366533","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
H. Mizoguchi, H. Nakarai, T. Abe, Y. Kawasuji, Hiroshi Tanaka, Y. Watanabe, T. Hori, T. Kodama, Yutaka Shiraishi, T. Yanagida, G. Soumagne, Tsuyoshi Yamada, T. Yamazaki, Takashi Saitou
{"title":"High-power LPP-EUV source with long collector mirror lifetime for semiconductor high-volume manufacturing","authors":"H. Mizoguchi, H. Nakarai, T. Abe, Y. Kawasuji, Hiroshi Tanaka, Y. Watanabe, T. Hori, T. Kodama, Yutaka Shiraishi, T. Yanagida, G. Soumagne, Tsuyoshi Yamada, T. Yamazaki, Takashi Saitou","doi":"10.1117/12.2514033","DOIUrl":"https://doi.org/10.1117/12.2514033","url":null,"abstract":"We have been developing CO2-Sn-LPP EUV light source which is the most promising solution as the 13.5nm high power light source for HVM EUVL. Unique and original technologies such as; combination of pulsed CO2 laser and Sn droplets, dual wavelength laser pulses shooting and mitigation with magnetic field have been developed in Gigaphoton Inc.. We have developed first practical source for HVM; “GL200E” 1) in 2014. We have proved high average power CO2 laser more than 20kW at output power cooperate with Mitsubishi electric cooperation2). Pilot#1 is up running and its demonstrates HVM capability; EUV power recorded at111W average (117W in burst stabilized, 95% duty) with 5% conversion efficiency for 22hours operation in October 20163). Availability is potentially achievable at 89% (2weeks average), also superior magnetic mitigation has demonstrated promising mirror degradation rate (= 0.5%/Gp) above 100W level operation with dummy mirror test.4). \u0000Recently we have demonstrated actual collector mirror reflectivity degradation rate is less than -0.4%/Gp by using real collector mirror around 100W ( at I/F clean ) in burst power during 30 Billion pulses operation. We will report latest data 125W average operation with actual collector mirror at conference.\u0000Reference\u00001) Hakaru Mizoguchi, et. al.: “Sub-hundred Watt operation demonstration of HVM LPP-EUV source”, Proc. SPIE 9048, (2014) \u00002) Yoichi Tanino et.al.:” A Driver CO2 Laser Using Transverse-flow CO2 Laser Amplifiers”, EUV Symposium 2013, ( Oct.6-10.2013, Toyama)\u00003) Hakaru Mizoguchi et al.:” Performance of 250W High Power HVM LPP-EUV Source”, Proc. SPIE 10143, Extreme Ultraviolet (EUV) Lithography VIII (2017)\u00004) Hakaru Mizoguchi, et al: ” High Power HVM LPP-EUV Source with Long Collector Mirror Lifetime”, EUVL Workshop 2017, (Berkley, 12-15, June, 2017)","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"49 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-06-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123930439","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
H. Vats, R. R. Kim, Y. Sherazi, Y. Drissi, K. Ronse
{"title":"Electrically measurable test structures to capture and classify EUV stochastics","authors":"H. Vats, R. R. Kim, Y. Sherazi, Y. Drissi, K. Ronse","doi":"10.1117/12.2515418","DOIUrl":"https://doi.org/10.1117/12.2515418","url":null,"abstract":"Advanced technology nodes are demanding aggressive printability using EUV. EUV printing process inherently brings in stochastic defects. To measure and experience various types of Stochastics in EUV printing, high volume measurements are deemed necessary. Furthermore the classification of the defects in terms of stochastic and systematic is also required. The permutation and combinations of shapes, sizes, and proximity driven stochastics errors are high in numbers, leading to significant increase in the number of test structures needed. Without electrically measurable solutions, the defect test measurement exercise becomes impractical to perform visually. This paper will describe few examples of developing and handling the test structures capable to capture the defects and defect location and further to classify the defects in terms of stochastic or systematic defects.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"101 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132582530","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Interferometric measurement of phase in EUV masks","authors":"Wenhua Zhu, R. Miyakawa, Lei Chen, P. Naulleau","doi":"10.1117/12.2518057","DOIUrl":"https://doi.org/10.1117/12.2518057","url":null,"abstract":"In this paper we present a technique for measuring the phase of EUV phase shift masks. In this technique we image the mask with a specialized objective lens that generates a superposition of two laterally separated images that interfere onto a detector. The resulting interference contains information about both the amplitude and the phase of the mask. By changing the incident illumination angle, we can control the bulk phase of the interference fringes, which allows us to reconstruct the phase difference between two adjacent points on the mask using standard phase retrieval techniques. While this method only reconstructs phase differences, it can be used to fully characterize the amplitude and phase of features provided that they are adjacent to a flat reference area on the mask.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"11 1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124614859","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
M. Harumoto, Yuji Tanaka, Chisayo Nakayama, You Arisawa, M. Asai, C. Pieczulewski, H. Stokes, Kimiko Yamamoto, Hiroki Tanaka, Yasuaki Tanaka, Kazuyo Morita
{"title":"Litho-performance expansion with new SOC made from Hemicellulose","authors":"M. Harumoto, Yuji Tanaka, Chisayo Nakayama, You Arisawa, M. Asai, C. Pieczulewski, H. Stokes, Kimiko Yamamoto, Hiroki Tanaka, Yasuaki Tanaka, Kazuyo Morita","doi":"10.1117/12.2517692","DOIUrl":"https://doi.org/10.1117/12.2517692","url":null,"abstract":"Tri-layer processes, which typically consist of photoresist, Si containing anti-reflective coating (Si-ARC) and spin on carbon (SOC), have been widely used since ArF immersion lithography. Continually reduced pattern dimensions need thinner photoresist films due to the common phenomenon of post-develop line collapse with higher aspect ratios. Consequently, it has been necessary to enhance pattern transfer performance after etching with such tri-layer processes. Successfully implementing tri-layer processes requires consideration of issues such as increased process steps, cost, and other inherent limitations of pattern transfer enhancement with a more complicated stack. In this work, we present a hemicellulose SOC material with the outstanding advantage of Si etch selectivity greater than 15. Hemicellulose SOC could significantly reduce pattern transfer limitations for etching, therefore the benefits of processing higher aspect ratio structures can be more easily achieved. Herein, we investigate the hemicellulose SOC lithography performance using resolution, sensitivity, and line width roughness as metrics. Also, we demonstrate these lithography performances through the etching. During the conference, we will discuss the potential issues of next generation processes using ArF immersion and EUV lithography.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128476807","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
A. Nakajima, Kyoko Watanabe, Kyoko Matsuoka, T. Kozawa, Yoshitaka Komuro, Daisuke Kawana, A. Yamazaki
{"title":"Fundamental study on dissolution kinetics of poly(4-hydroxystyrene) for development of high-resolution resists","authors":"A. Nakajima, Kyoko Watanabe, Kyoko Matsuoka, T. Kozawa, Yoshitaka Komuro, Daisuke Kawana, A. Yamazaki","doi":"10.1117/12.2514938","DOIUrl":"https://doi.org/10.1117/12.2514938","url":null,"abstract":"We investigated the dissolution kinetics of poly(4-hydroxystyrene) (PHS) in an alkali developer with tetramethylammoniumhydroxide (TMAH). Experiments using PHS with different molecular weights and molecular weight dispersions and developer with different concentrations of TMAH led to the clarification of the dissolution behavior. Not only a change in the dissolution time but also a change in the dissolution behavior was observed upon changing the concentration of the developer. The dissolution behavior depends on an index calculated from values indicating the effects of swelling and dissolving. The dissolution occurred through the swelling of the polymer bulk and the subsequent diffusion of polymer chains into the solution bulk. The development using the alkali aqueous solution system was complex. The swelling rate should not be much larger than the dissolving rate for the development of high-resolution resists because a high swelling rate causes the generation of defects during the fabrication of fine structures.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"39 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-05-31","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122488064","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Ion dynamics in laser-produced plasma for EUV generation in nanolithography devices","authors":"T. Sizyuk","doi":"10.1117/12.2514985","DOIUrl":"https://doi.org/10.1117/12.2514985","url":null,"abstract":"Increasing EUV photon power in laser-produced plasma (LPP) sources is critically needed for efficient nanolithography devices. Improving debris mitigation methods is another important subject in the development of EUV sources for high volume manufacture tools. We investigated different mechanisms affecting ions acceleration in LPP to predict the maximum ion energies and flux arriving at the mirror surface. We studied in details plasma evolution produced by Nd:YAG laser from Sn target to predict EUV producing ions dynamics and their contributions to EUV source. \u0000The 3D multi-physics fully integrated HEIGHTS package was used in this analysis. We continue to develop, enhance, and benchmark the models implemented in our package to include various physics involved in LPP systems. HEIGHTS simulation of detail ion kinetic energies were compared with experimental data and showed great confidence in our advanced self-integrated models that can then be used for the explanation of the experimental data as well as for various predictions. Spatial and charge distributions were predicted for EUV producing ions and debris. We studied various target configurations and laser parameters to enhance the power of EUV sources as well as to reduce and mitigate ions and debris effects on the collecting mirror system. The comprehensive integrated full 3D models allowed accurate simulation of all processes of plasma formation, dynamics, and EUV photons emission and collection.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"30 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-05-31","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123368240","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}