Extreme Ultraviolet (EUV) Lithography X最新文献

筛选
英文 中文
Investigating EUV radiochemistry with condensed phase photoemission 用凝聚相光发射研究EUV放射化学
Extreme Ultraviolet (EUV) Lithography X Pub Date : 2019-05-30 DOI: 10.1117/12.2520391
Jonathan H. Ma, A. Neureuther, P. Naulleau
{"title":"Investigating EUV radiochemistry with condensed phase photoemission","authors":"Jonathan H. Ma, A. Neureuther, P. Naulleau","doi":"10.1117/12.2520391","DOIUrl":"https://doi.org/10.1117/12.2520391","url":null,"abstract":"In EUV, photoelectrons and secondary electrons play indispensable roles in the chemistry of photoresist. An accurate understanding of electron related processes provides foundation for targeted engineering of resists and other EUV materials. As chemistry is initiated by secondary electrons, acquiring the electron energy distribution inside an actual photoresists is important for improving the efficiency of chemical activation. We demonstrate that condensed phase photoemission spectroscopy can be used as a tool for interrogating electrons in resist and electrons owing from underlayers to resists. The electron energy distribution, albeit different from that measured with condensed phase photoemission spectroscopy, can be recovered computationally. The computational approach involves Monte Carlo simulations using the energy resolved scattering mean free path and the photoemission energy spectra as inputs.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-05-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130556380","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Progress in EUV resists towards high-NA EUV lithography 极紫外光刻技术的发展趋势是向高na极紫外光刻技术发展
Extreme Ultraviolet (EUV) Lithography X Pub Date : 2019-05-29 DOI: 10.1117/12.2516260
Xiaolong Wang, Z. Tasdemir, I. Mochi, M. Vockenhuber, Lidia van Lent-Protasova, M. Meeuwissen, Rolf Custers, G. Rispens, R. Hoefnagels, Y. Ekinci
{"title":"Progress in EUV resists towards high-NA EUV lithography","authors":"Xiaolong Wang, Z. Tasdemir, I. Mochi, M. Vockenhuber, Lidia van Lent-Protasova, M. Meeuwissen, Rolf Custers, G. Rispens, R. Hoefnagels, Y. Ekinci","doi":"10.1117/12.2516260","DOIUrl":"https://doi.org/10.1117/12.2516260","url":null,"abstract":"High-NA extreme ultraviolet lithography (EUVL) is going to deliver the high-volume manufacturing (HVM) patterning for sub-7 nm nodes for the semiconductor industry. One of the critical challenges is to develop suitable EUV resists at high resolution with high sensitivity and low line-edge roughness (LER). The resist performance is generally limited by the resolution-LER-sensitivity (RLS) tradeoff and it is critical to find new resists that have a performance beyond this tradeoff. EUV interference lithography (EUV-IL) is a powerful and efficient technique that can print high resolution: half pitch (HP) down to 6 nm nanostructures. In this work, we evaluate the performance of the EUV resists, including molecular resist, inorganic resist, chemically-amplified (CAR) and metal sensitizer chemically-amplified resist (Metal-CAR). Six resists with the best performance have been compared in dose-to-size, line-edge roughness, exposure latitude for half pitch 16 nm and 14 nm. The molecular resist A showed lowest dose to resolve HP 16 nm (35 mJ/cm2) and 14 nm (41 mJ/cm2) but with high line edge roughness (LER 3.5 nm). CAR resist C provided lowest LER 1.9 and 1.8 nm for HP 16 nm and HP 14 nm, respectively, but with higher doses 74 mJ/cm2 (HP 16 nm) and 69 mJ/cm2 (HP 14 nm). The inorganic resist showed comprehensive good performance, giving low LER of 2.1 nm with 50 mJ/cm2 and 42 mJ/cm2 for HP 16 nm and HP 14 nm, respectively. Using the simplified Z-factor model, we showed that the LER of the resists was improved over the last two years. As the inorganic resist could resolve HP 11 nm with dose 67 mJ/cm2, we conclude it to be the current best candidate to partially resolve the RLS tradeoff problem and could be the potential EUV resist for semiconductor technological node printing.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"10 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-05-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129369002","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
Table-top EUV/soft x-ray source for metrological applications 台式EUV/软x射线源用于计量应用
Extreme Ultraviolet (EUV) Lithography X Pub Date : 2019-05-16 DOI: 10.1117/12.2515215
K. Mann, J. Holburg, S. Lange, M. Müller, B. Schäfer
{"title":"Table-top EUV/soft x-ray source for metrological applications","authors":"K. Mann, J. Holburg, S. Lange, M. Müller, B. Schäfer","doi":"10.1117/12.2515215","DOIUrl":"https://doi.org/10.1117/12.2515215","url":null,"abstract":"Two methods improving the brilliance of laser-induced plasmas emitting in the extreme UV (EUV) and soft x-ray (SXR) region were investigated, using three different gases (nitrogen, krypton, and xenon) from a pulsed gas jet. Utilizing a newly designed piezo electric valve, up to almost ten times higher gas pressures were applied, resulting in increased target densities and thus, higher conversion efficiencies of laser energy into EUV and SXR radiation. Secondly, geometrically reducing the angle between incoming laser beam and observed plasma emission minimizes reabsorption of the emitted short wavelength radiation. Combining both methods, the source brilliance is increased by a factor of 5 for nitrogen. Furthermore, a compact EUV focusing system for metrological applications is presented utilizing the optimized plasma source. An energy density of 1 mJ/cm² at λ = 13.5 nm in the focal spot of an ellipsoidal mirror is achieved with xenon as target gas being sufficient for material removal of PMMA samples with an ablation rate of 0.05 nm/pulse.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"205 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-05-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121312549","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Experimental investigation of a high-k reticle absorber system for EUV lithography EUV光刻高k线吸收系统的实验研究
Extreme Ultraviolet (EUV) Lithography X Pub Date : 2019-05-01 DOI: 10.1117/12.2515496
J. Finders, R. D. Kruif, F. Timmermans, J. Santaclara, B. Connolly, M. Bender, F. Schurack, T. Onoue, Y. Ikebe, Dave Farrar
{"title":"Experimental investigation of a high-k reticle absorber system for EUV lithography","authors":"J. Finders, R. D. Kruif, F. Timmermans, J. Santaclara, B. Connolly, M. Bender, F. Schurack, T. Onoue, Y. Ikebe, Dave Farrar","doi":"10.1117/12.2515496","DOIUrl":"https://doi.org/10.1117/12.2515496","url":null,"abstract":"EUV lithography is entering High Volume Manufacturing at relative high Rayleigh factor k1 above 0.4. In comparison immersion lithography has been pushed to k1 values of 0.3 or below over the last two decades. One of the strong contributors determining the effective usable resolution is the mask absorber stack. The mask stack alters the diffraction by modifying the phase and intensity of the diffracted orders. In this paper we show the exposure results of a test mask having higher absorbance of EUV light and the advantages of reduced Mask 3D effects to imaging.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"71 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131693712","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 13
Front Matter: Volume 10957 封面:卷10957
Extreme Ultraviolet (EUV) Lithography X Pub Date : 2019-04-03 DOI: 10.1117/12.2533503
{"title":"Front Matter: Volume 10957","authors":"","doi":"10.1117/12.2533503","DOIUrl":"https://doi.org/10.1117/12.2533503","url":null,"abstract":"","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"12 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-04-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123103000","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
EUV insertion strategy into logic technology on the horizon of scaling paradigm change 逻辑技术中EUV插入策略的尺度范式变化
Extreme Ultraviolet (EUV) Lithography X Pub Date : 2019-03-14 DOI: 10.1117/12.2516524
R. Kim
{"title":"EUV insertion strategy into logic technology on the horizon of scaling paradigm change","authors":"R. Kim","doi":"10.1117/12.2516524","DOIUrl":"https://doi.org/10.1117/12.2516524","url":null,"abstract":"Under the growing concern on the cost and complexity of pitch-only scaling, scaling paradigm in logic technology is changing with adoption of design technology co-optimization (DTCO) and system technology co-optimization (STCO). On this landscape of rapid technology evolution, Extreme Ultraviolet Lithography (EUVL) faces its insertion into high volume manufacturing (HVM) from 2019 with shift on focus from infrastructural readiness to high volume manufacturability. In this presentation, EUV insertion strategy into the logic technology nodes will be discussed on the horizon of current and up-coming industry technology nodes. With remaining technical challenges in EUV across mask, patterning, computational lithography and design, it will be discussed how EUV can be coupled with other technological considerations to enable smooth adoption.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"38 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-03-14","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129446628","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Ongoing investigation of collector cleaning by surface wave plasma in the Illinois NXE:3100 chamber 正在进行的伊利诺伊NXE:3100室表面波等离子体清洁收集器的研究
Extreme Ultraviolet (EUV) Lithography X Pub Date : 2019-03-14 DOI: 10.1117/12.2515072
Gianluca A. Panici, Dren Qerimi, D. Ruzic
{"title":"Ongoing investigation of collector cleaning by surface wave plasma in the Illinois NXE:3100 chamber","authors":"Gianluca A. Panici, Dren Qerimi, D. Ruzic","doi":"10.1117/12.2515072","DOIUrl":"https://doi.org/10.1117/12.2515072","url":null,"abstract":"A hydrogen plasma cleaning technique to clean Sn (tin) off EUV collector optics is studied in detail. The cleaning process uses hydrogen radicals and ions (formed in the hydrogen plasma) to interact with Sn-coated surfaces, forming SnH4 and being pumped away. This technique has been used to clean a 300mm-diameter stainless steel dummy collector optic, and EUV reflectivity of multilayer mirror samples was restored after cleaning Sn from them, validating the potential of this technology. \u0000This method has the potential to significantly reduce downtime and increase source availability as it occurs in-situ. While previous experiments have been conducted using an RF capacitively coupled plasma, a microwave-generated surface wave plasma (SWP) is advantageous due to its high density, low electron temperature, and ability to be generated locally where etching is needed. Langmuir probe measurements of the surface wave plasma show electron temperatures of 1 to 5 eV and plasma densities on the order of 10^11-12 cm-3. \u0000Previous experiments have shown etch rates of greater than 10 nm/min over a 2 inch diameter circular plasma area with an unoptimized SWP launcher. Peak etch rates of 94.9 nm/min were measured in the immediate vicinity of the plasma source. A custom launcher was designed for the 3100 collector and tested in the NXE:3100 chamber at Illinois at standard operating conditions. Previous results at different pressures have been presented, but the flow rate dependency was never investigated. Etch rates at commercially viable pressures and different flow rates were explored and these results will be presented.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-03-14","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130549560","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
High-NA EUV lithography exposure tool progress 高na极紫外光刻曝光工具进展
Extreme Ultraviolet (EUV) Lithography X Pub Date : 2019-03-14 DOI: 10.1117/12.2515205
J. V. Schoot, E. V. Setten, K. Troost, Frank Bornebroek, Rob van Ballegoij, S. Lok, J. Stoeldraijer, J. Finders, Paul Graeupner, J. Zimmermann, Peter Kuerz, Marco Pieters, W. Kaiser
{"title":"High-NA EUV lithography exposure tool progress","authors":"J. V. Schoot, E. V. Setten, K. Troost, Frank Bornebroek, Rob van Ballegoij, S. Lok, J. Stoeldraijer, J. Finders, Paul Graeupner, J. Zimmermann, Peter Kuerz, Marco Pieters, W. Kaiser","doi":"10.1117/12.2515205","DOIUrl":"https://doi.org/10.1117/12.2515205","url":null,"abstract":"While EUV systems equipped with a 0.33 Numerical Aperture (NA) lens are readying to start high volume manufacturing, ASML and ZEISS are in parallel ramping up their activities on an EUV exposure tool with an NA of 0.55. \u0000The purpose of this high-NA scanner, targeting an ultimate resolution of 8nm, is to extend Moore’s law throughout the next decade. \u0000A novel lens design, capable of providing the required Numerical Aperture, has been identified; this lens will be paired with new, faster stages and more accurate sensors enabling the tight focus and overlay control needed for future process nodes. \u0000In this paper an update will be given on the status of the developments at ZEISS and ASML. Next to this, we will address several topics inherent in the new design and smaller target resolution: M3D effects, polarization, focus control and stitching.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"94 18 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-03-14","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129056624","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 23
Laser produced plasma EUV sources for HVM 7nm node lithography: progress in availability and prospects of power scaling 用于HVM 7nm节点光刻的激光等离子体EUV光源:可用性进展和功率缩放前景
Extreme Ultraviolet (EUV) Lithography X Pub Date : 2019-03-14 DOI: 10.1117/12.2515017
I. Fomenkov
{"title":"Laser produced plasma EUV sources for HVM 7nm node lithography: progress in availability and prospects of power scaling","authors":"I. Fomenkov","doi":"10.1117/12.2515017","DOIUrl":"https://doi.org/10.1117/12.2515017","url":null,"abstract":"In this paper, we provide an overview of state-of-the-art technologies for laser-produced-plasma (LPP) extreme-ultraviolet (EUV) source performance to enable high volume manufacturing of the N7 node and beyond. Source architecture enabling stable and reliable performance at 250 Watts EUV power, and the technical challenges for scaling of key source parameters and subsystems toward 500W will be described. Improvements in availability of droplet generation and the performance of critical subsystems that contribute to Collector lifetime toward the one tera-pulse level, will be shown. Finally, we will describe current research activities and provide a perspective for LPP EUV sources towards the future ASML Scanners.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-03-14","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116771775","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Colliding plasmas as potential EUV sources towards higher conversion efficiency 碰撞等离子体作为潜在的极紫外光源实现更高的转换效率
Extreme Ultraviolet (EUV) Lithography X Pub Date : 2019-03-14 DOI: 10.1117/12.2514970
T. Sizyuk, J. Oliver
{"title":"Colliding plasmas as potential EUV sources towards higher conversion efficiency","authors":"T. Sizyuk, J. Oliver","doi":"10.1117/12.2514970","DOIUrl":"https://doi.org/10.1117/12.2514970","url":null,"abstract":"Development and optimization of EUV sources for nanolithography during the last decade lead to the significantly improved understanding of Laser Produced Plasmas (LPPs) evolution and properties. Many efforts were devoted to the fundamental effects of laser parameters on LPP temporal and spatial characteristics and on EUV light emission and absorption. Comprehensive experimental and theoretical studies allowed prediction of optimum conditions for the efficient sources produced by various lasers. However, improving the quality and reducing the cost of chips production require significant increase in EUV source power. Several studies have shown EUV power limits from laser based sources produced using mass-limited Sn droplets. These limitations can be explained by target/plasma hydrodynamics effects under laser irradiation that can result in reduced transient area of laser/plasma interactions and the high reabsorption of emitted EUV photons in evolving plasma. Therefore, further analysis of the effects of target parameters on EUV output is an important task in the optimization of future high power EUV sources. \u0000Colliding plasmas experiments can be very useful in this regard. Development of pre-plasma in these experiments can be regulated by several parameters of two lasers in conjunction with target geometry. The developed pre-plasma characteristics can accurately be measured and optimized for the following main laser (CO2 or Nd:YAG) irradiation to develop very efficient and prolonged EUV emitting area. \u0000We used our advanced 3D simulation package (HEIGHTS) for modeling of complex colliding plasmas evolution and EUV source characteristics produced by two simultaneous Nd:YAG lasers interaction with wedge target followed by CO2 irradiation. We used several diagnostics technique and comparison of modeling and experimental results in our CMUXE labs regarding plasma characteristics, laser/plasma/target interactions, and EUV photons emission and absorption.\u0000Our results showed that significant enhancement in EUV output can be achieved with optimized parameters of laser beams that could allow approaching the predicted theoretical limit of the conversion efficiency of EUV sources.","PeriodicalId":147291,"journal":{"name":"Extreme Ultraviolet (EUV) Lithography X","volume":"122 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-03-14","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132630155","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:604180095
Book学术官方微信