IET Computers and Digital Techniques最新文献

筛选
英文 中文
FPGA-based implementation of floating point processing element for the design of efficient FIR filters 基于fpga实现浮点处理元件,用于设计高效FIR滤波器
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-03-22 DOI: 10.1049/cdt2.12010
Tintu Mary John, Shanty Chacko
{"title":"FPGA-based implementation of floating point processing element for the design of efficient FIR filters","authors":"Tintu Mary John,&nbsp;Shanty Chacko","doi":"10.1049/cdt2.12010","DOIUrl":"10.1049/cdt2.12010","url":null,"abstract":"<p>Numerous applications based on very large scale intergration (VLSI) architecture suffer from large size components that lead to an error in the design of the filter during the stages of floating point arithmetic. Hence, it is necessary to change the architectural model that increases the design complexity and the time delay effect. The issue encountered in the VLSI architectures for finite impulse response (FIR) filter is the increased number of components, especially delay elements. For the VLSI architecture reconfigured with reduced register usage, this article provides the floating point processing element (FPPE) implementation with Cross-Folded Shifting. The proposed FIR filter system reduces the number of components in the circuit which increases the complexity and high delay rate in the logical operation. The system has a comparatively reduced delay rate and power consumption. Hence, an efficient fast architecture based on the FPPE method is developed in this paper.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12010","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83302759","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
New scan compression approach to reduce the test data volume 新的扫描压缩方法,减少测试数据量
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-03-18 DOI: 10.1049/cdt2.12020
Pralhadrao V. Shantagiri, Rohit Kapur, Chandrasekar Shastry
{"title":"New scan compression approach to reduce the test data volume","authors":"Pralhadrao V. Shantagiri,&nbsp;Rohit Kapur,&nbsp;Chandrasekar Shastry","doi":"10.1049/cdt2.12020","DOIUrl":"10.1049/cdt2.12020","url":null,"abstract":"<p>The test data volume (TDV) increases with increased target compression in scan compression and adds to the test cost. Increased TDV is the result of a dependency across scan flip-flops (SFFs) that resulted from compression architecture, which is absent in scan mode. The SFFs have uncompressible values logic-0 and logic-1 in many or most of the patterns contribute to the TDV. In the proposed new scan compression (NSC) architecture, SFFs are analysed from Automatic Test Pattern Generation (ATPG) patterns generated in a scan mode. The identification of SFFs to be moved out of the compression architecture is carried out based on the NSC. The method includes a ranking of SFFs based on the specified values present in the test patterns. The SFFs having higher specified values are moved out of the compression architecture and placed in the outside scan chain. The NSC is the combination of scan compression and scan mode. This method decides the percentage (%) of SFFs to be moved out of compression architecture and is less than 0.5% of the total SFFs present in the design to achieve a better result. The NSC reduces dependencies across the SFFs present in the test compression architecture. It reduces the TDV and test application time. The results show a significant reduction in the TDV up to 78.14% for the same test coverage.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12020","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88164538","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A novel task scheduling approach for dependent non-preemptive tasks using fuzzy logic 一种基于模糊逻辑的非抢占任务调度方法
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-03-15 DOI: 10.1049/cdt2.12018
Heba E. Hassan, Gihan Nagib, Khaled Hosny Ibrahiem
{"title":"A novel task scheduling approach for dependent non-preemptive tasks using fuzzy logic","authors":"Heba E. Hassan,&nbsp;Gihan Nagib,&nbsp;Khaled Hosny Ibrahiem","doi":"10.1049/cdt2.12018","DOIUrl":"10.1049/cdt2.12018","url":null,"abstract":"<p>Multiprocessor task scheduling problem is a pressing problem that affects systems' performance and is still being investigated by the researchers. Finding the optimal schedules is considered to be a computationally hard problem. Recently, researchers have used fuzzy logic in the field of task scheduling to achieve optimal performance, but this area of research is still not well investigated. In addition, there are various scheduling algorithms that used fuzzy logic but most of them are often performed on uniprocessor systems. This article presents a new proposed algorithm in which the priorities of the tasks are derived from the fuzzy logic and bottom level parameter. This approach is designed to find task schedules with optimal or sub-optimal lengths in order to achieve high performance for a multiprocessor environment. With respect to the proposed algorithm, the precedence constraints between the non-preemptive tasks and their execution times are known and described by a directed acyclic graph. The number of processors is fixed, the communication costs are negligible and the processors are homogeneous. The suggested technique is tested and compared with the Prototype Standard Task Graph Set.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12018","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82794869","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Flexible and high-throughput structures of Camellia block cipher for security of the Internet of Things 灵活、高吞吐量的山茶花分组密码结构,保障物联网安全
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-03-12 DOI: 10.1049/cdt2.12025
Bahram Rashidi
{"title":"Flexible and high-throughput structures of Camellia block cipher for security of the Internet of Things","authors":"Bahram Rashidi","doi":"10.1049/cdt2.12025","DOIUrl":"10.1049/cdt2.12025","url":null,"abstract":"<p>The advancements in wireless communication have created exponential growth in the Internet of Things (IoT) systems. Security and privacy of the IoT systems are critical challenges in many data-sensitive applications. Herein, high-throughput and flexible hardware implementations of the Camellia block cipher for IoT applications are presented. In the proposed structures, sub-blocks of the ciphers are implemented based on optimised circuits. The proposed structures for Camellia are designed and shared for implementing the encryption process and generating some intermediate key values in the two separate times. The most complex block in these ciphers is the substitution box (S-box). The S-boxes are implemented based on area-optimised logic circuits. The Camellia S-boxes consist of a field inversion over <math>\u0000 <msub>\u0000 <mrow>\u0000 <mi>F</mi>\u0000 </mrow>\u0000 <mrow>\u0000 <msup>\u0000 <mrow>\u0000 <mn>2</mn>\u0000 </mrow>\u0000 <mrow>\u0000 <mn>8</mn>\u0000 </mrow>\u0000 </msup>\u0000 </mrow>\u0000 </msub></math> and two affine transformations over <math>\u0000 <msub>\u0000 <mrow>\u0000 <mi>F</mi>\u0000 </mrow>\u0000 <mrow>\u0000 <mn>2</mn>\u0000 </mrow>\u0000 </msub></math>. The inversion operation is implemented over the composite field <math>\u0000 <msub>\u0000 <mrow>\u0000 <mi>F</mi>\u0000 </mrow>\u0000 <mrow>\u0000 <msup>\u0000 <mrow>\u0000 <mrow>\u0000 <mo>(</mo>\u0000 <mrow>\u0000 <msup>\u0000 <mrow>\u0000 <mn>2</mn>\u0000 </mrow>\u0000 <mrow>\u0000 <mn>4</mn>\u0000 </mrow>\u0000 </msup>\u0000 </mrow>\u0000 <mo>)</mo>\u0000 </mrow>\u0000 </mrow>\u0000 <mrow>\u0000 <mn>2</mn>\u0000 </mrow>\u0000 </msup>\u0000 </mrow>\u0000 </msub></math> instead of an inversion over <math>\u0000 <msub>\u0000 <mrow>\u0000 <mi>F</mi>\u0000 </mrow>\u0000 <mrow>\u0000 <msup>\u0000 <mrow>\u0000 <mn>2</mn>\u0000 </mrow>\u0000 <mrow>\u0000 <mn>8</mn>\u0000 </mrow>\u0000 ","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12025","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77123108","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Low-power fast Fourier transform hardware architecture combining a split-radix butterfly and efficient adder compressors 低功耗快速傅立叶变换硬件架构,结合了分裂基蝴蝶和高效加法器压缩器
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-03-11 DOI: 10.1049/cdt2.12015
Guilherme Ferreira, Guilherme Paim, Leandro M. G. Rocha, Gustavo M. Santana, Renato H. Neuenfeld, Eduardo A. C. Costa, Sergio Bampi
{"title":"Low-power fast Fourier transform hardware architecture combining a split-radix butterfly and efficient adder compressors","authors":"Guilherme Ferreira,&nbsp;Guilherme Paim,&nbsp;Leandro M. G. Rocha,&nbsp;Gustavo M. Santana,&nbsp;Renato H. Neuenfeld,&nbsp;Eduardo A. C. Costa,&nbsp;Sergio Bampi","doi":"10.1049/cdt2.12015","DOIUrl":"10.1049/cdt2.12015","url":null,"abstract":"<p>Fast Fourier transform (FFT) is the most common low-complexity implementation of the discrete Fourier transform, intensively employed to process real-world signals in smart sensors for the internet of things. Butterflies play a central role as the FFT computing core data path since it calculates complex terms employing several multipliers. A low-power FFT hardware architecture combining split-radix decimation-in-time butterfly and 5-2 adder compressors (ACs) is proposed and implemented. The circuits are described in Verilog hardware description language and synthesized using the Cadence Genus synthesis tool. The circuits are mapped onto a 65-nm CMOS ST standard cell library. Results reveal that the proposed FFT hardware architecture using the split-radix butterfly is 13.28% more power efficient than the radix-4 one. The results further show that, by combining 5-2 AC within the split-radix butterfly, our proposal saves up to 43.1% of the total power dissipation considering the whole FFT hardware architecture, compared with the state-of-the-art radix-4 butterfly employing the adder automatically selected by the logic synthesis tool.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12015","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76324659","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
A novel FPGA-Based Bi input-reduced order extended Kalman filter for speed-sensorless direct torque control of induction motor with constant switching frequency controller 一种新型的基于fpga的扩展卡尔曼滤波器用于恒开关频率异步电动机无速度传感器直接转矩控制
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-03-10 DOI: 10.1049/cdt2.12011
Remzi Inan
{"title":"A novel FPGA-Based Bi input-reduced order extended Kalman filter for speed-sensorless direct torque control of induction motor with constant switching frequency controller","authors":"Remzi Inan","doi":"10.1049/cdt2.12011","DOIUrl":"10.1049/cdt2.12011","url":null,"abstract":"<p>This study proposes an FPGA-based hardware in the loop (HIL) emulator for speed-sensorless of induction motor (IM) constant switching frequency controller-based direct torque control (CSFC-DTC) with a novel bi input-reduced order extended Kalman filter (BI-ROEKF). The full precision single floating point numbers in the IEEE 754 standard are used during the implementation of the HIL emulator which contains closed-loop speed-sensorless drive system of IM on the Xilinx Virtex XC5VLX-110T ML506 FPGA board. In this HIL emulator of speed-sensorless IM drive system, stator stationary axis components of stator flux, rotor mechanical angular speed, load torque, stator and rotor resistances are estimated with the novel BI-ROEKF which is proposed for the first time in the literature. The proposed BI-ROEKF is created by applying two different non-linear and linear system input functions obtained from two different IM models to the single reduced order extended Kalman filter (ROEKF) algorithm. Thus, the order and the computational burden of the EKF are reduced. The HIL emulator of the speed-sensorless drive system of IM is implemented on FPGA using the advantage of hand-written VHDL on getting an optimal logical design to reduce the sampling time which directly effects the estimation performance of the model-based estimator like the novel BI-ROEKF and hence the control performance of drive system. The estimation performance of the novel BI-ROEKF is tested with speed-sensorless CSFC-DTC IM drive system under different challenging scenarios in HIL emulator. Thus, the control and the implementation performances of digitalised emulator are tested. Finally, the estimation and control performance results and the execution time of the each part of the proposed HIL emulator of the speed-sensorless BI-ROEKF-based CSFC-DTC of the IM are presented.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-10","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12011","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88381546","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Low-space bit-serial systolic array architecture for interleaved multiplication over GF(2m) GF(2m)上交错乘法的低空间位串行收缩阵列结构
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-03-10 DOI: 10.1049/cdt2.12026
Atef Ibrahim
{"title":"Low-space bit-serial systolic array architecture for interleaved multiplication over GF(2m)","authors":"Atef Ibrahim","doi":"10.1049/cdt2.12026","DOIUrl":"10.1049/cdt2.12026","url":null,"abstract":"<p>This article offers a new bit-serial systolic array architecture to implement the interleaved multiplication algorithm in the binary-extended field. The exhibited multiplier structure is more proper for VLSI implementation as it has regular cell structures as well as local communication wires between the cells. The ASIC implementation results of the suggested bit-serial multiplier structure and the existing competitive bit-serial multiplier structures previously described in the literature indicate that the recommended design achieves a notable reduction in area and significant improvement of area-time complexities by at least 28.4% and 35.7%, respectively. Therefore, it is more proper for cryptographic applications forcing more restrictions on the space.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-10","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12026","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74100686","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Reliable SRAM using NAND-NOR Gate in beyond-CMOS QCA technology 可靠的SRAM使用NAND-NOR门在超越cmos QCA技术
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-02-28 DOI: 10.1049/cdt2.12012
Marshal Raj, Lakshminarayanan Gopalakrishnan, Seok-Bum Ko
{"title":"Reliable SRAM using NAND-NOR Gate in beyond-CMOS QCA technology","authors":"Marshal Raj,&nbsp;Lakshminarayanan Gopalakrishnan,&nbsp;Seok-Bum Ko","doi":"10.1049/cdt2.12012","DOIUrl":"10.1049/cdt2.12012","url":null,"abstract":"<p>The rise in complementary metal-oxide semiconductor (CMOS) limitations has urged the industry to shift its focus towards beyond-CMOS technologies to stay in race with Moore’s law. Quantum-dot cellular automata (QCA) is considered to be a prominent paradigm among the emerging beyond-CMOS technologies. Since QCA is an emerging technology with no proper layout tools, layout generation from hardware description language (HDL) can be done by implementing circuits using the NAND-NOR logic. In QCA, the NAND-NOR logic is realised by combining a majority gate and an inverter or by using some dedicated structures. The Radius of Effect (RoE) is a critical factor that depends on the permittivity of the material used and it has an influence on the columbic interaction, polarisation and kink energy. Lower Radius of Effect values will have an impact on the performance of the circuit. In this work, a cost-efficient NAND-NOR gate using Single Rotated Cell (SRC) inverter is proposed which can operate with lower Radius of Effect. Using the proposed gate, multiplexer, decoder, and innovative memory cell are implemented. In order to demonstrate the ability to implement larger circuits using NAND-NOR logic and the proposed blocks, a 16*16 SRAM is implemented. QCADesigner is used for the simulation and validation of the proposed designs.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-02-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12012","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74024452","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Corrigendum: Throughput/area optimised pipelined architecture for elliptic curve crypto processor 更正:用于椭圆曲线加密处理器的吞吐量/面积优化的流水线架构
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-01-11 DOI: 10.1049/cdt2.12008
Muhammad Rashid
{"title":"Corrigendum: Throughput/area optimised pipelined architecture for elliptic curve crypto processor","authors":"Muhammad Rashid","doi":"10.1049/cdt2.12008","DOIUrl":"10.1049/cdt2.12008","url":null,"abstract":"<p>In [<span>1</span>], the following corrections should be noted.</p><p>The work in this article is funded by National Science Technology, Innovative Plan (NSTIP), Saudi Arabia (14-ELE1049-10). The authors acknowledge the support of King Abdul-Aziz City for Science and Technology (KACST) and Science and Technology Unit (STU), Makkah.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-01-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12008","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73150693","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Recycled integrated circuit detection using reliability analysis and machine learning algorithms 回收集成电路检测使用可靠性分析和机器学习算法
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2020-12-16 DOI: 10.1049/cdt2.12005
Udaya Shankar Santhana Krishnan, Kalpana Palanisamy
{"title":"Recycled integrated circuit detection using reliability analysis and machine learning algorithms","authors":"Udaya Shankar Santhana Krishnan,&nbsp;Kalpana Palanisamy","doi":"10.1049/cdt2.12005","DOIUrl":"10.1049/cdt2.12005","url":null,"abstract":"<p>The use of counterfeit integrated circuits (ICs) in electronic products decreases its quality and lifetime. Recycled ICs can be detected by the method of aging analysis. Aging is carried out through reliability analysis with the effect of hot carrier injection and bias temperature instability (BTI). In this work, three machine learning methods, namely K-means clustering, back propagation neural network (BPNN) and support vector machines (SVMs), are used to detect the recycled IC aged for a shorter period (1 day) with minimum data size. This work also distinguishes the effects of degradation due to process variations and reliability effects. The reliability and Monte Carlo simulation are performed on benchmark circuits such as c17, s27, b02 and fully differential folded-cascode amplifier using the Cadence Virtuoso tool, and the parameters such as minimum voltage, delay value, supply current, gain, phase margin and bandwidth are measured. Machine learning methods are developed using MATLAB to train and classify the parameters. From the results obtained, it is observed that the classification rate for the benchmark circuits is 100%, and using BPNN, K-means clustering and SVM and the proposed method, recycled IC or used IC is detected even if it was used for 1 day.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2020-12-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12005","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79014735","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信