IET Computers and Digital Techniques最新文献

筛选
英文 中文
Strengthened 32-bit AES implementation: Architectural error correction configuration with a new voting scheme 增强的32位AES实现:具有新投票方案的架构纠错配置
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-05-17 DOI: 10.1049/cdt2.12031
Saeideh Sheikhpur, Mahdi Taheri, Mohammad Saeed Ansari, Ali Mahani
{"title":"Strengthened 32-bit AES implementation: Architectural error correction configuration with a new voting scheme","authors":"Saeideh Sheikhpur,&nbsp;Mahdi Taheri,&nbsp;Mohammad Saeed Ansari,&nbsp;Ali Mahani","doi":"10.1049/cdt2.12031","DOIUrl":"10.1049/cdt2.12031","url":null,"abstract":"<p>Digital data transmission is day by day more vulnerable to both malicious and natural faults. With an aim to assure reliability, security and privacy in communication, a low-cost fault resilient architecture for Advanced Encryption Standard (AES) is proposed. In order not to degrade the reliability of our AES architecture, the reliability of voter is very important, for which reason we have introduced a novel voting scheme include a majority voter (named TMR voter) and an error barrier element (named DMR voter). In this paper, a reliable and secure 32-bit data-path AES implementation based on our robust fault resilient approach is developed. We illustrate that the proposed architecture can tolerate up to triple-bit (byte) simultaneous faults at each pipeline stage’s logic and verify our claim through extensive error simulations. Error simulation results also show that our architecture achieves close to 100% fault-masking capability for multiple-bit (byte) faults. Finally, it is shown that the Application-Specific Integrated Circuit implementation of the fault-tolerant architectures using the composite field-based S-box, CFB-AES, and ROM-based S-box, RB-AES allows better area usage, throughput and fault resilience trade-off compared to their counterparts. So, it provides the most appropriate features to be used in highly-secure resource-constraint applications.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-05-17","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12031","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72733828","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
SD-SHO: Security-dominated finite state machine state assignment technique with a satisfactory level of hardware optimization SD-SHO:安全主导的有限状态机状态分配技术,具有令人满意的硬件优化水平
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-04-21 DOI: 10.1049/cdt2.12029
Nitish Das, Aruna Priya Panchanathan
{"title":"SD-SHO: Security-dominated finite state machine state assignment technique with a satisfactory level of hardware optimization","authors":"Nitish Das,&nbsp;Aruna Priya Panchanathan","doi":"10.1049/cdt2.12029","DOIUrl":"10.1049/cdt2.12029","url":null,"abstract":"<p>Recently, the finite state machine (FSM)-based digital controllers are susceptible to fault-injection and side-channel attacks, which makes FSM security a more prominent factor. FSM optimality is another crucial element when designed. A state encoding approach is applied for FSM security and optimization. This article proposes the security-dominated FSM state assignment technique (SD-SHO), which obtains a satisfactory level of FSM optimization as well. It is a deterministic algorithm and consists of two key techniques, such as state assignment using an improved quadratic sum code, and state assignment using a gradient-based interior point method. A fuzzy bi-level programming logic is introduced in the proposed approach for regulating the constituting algorithms optimally. Experiments are conducted to evaluate the FSM security and optimality using the MCNC FSM benchmarks. Results indicate a substantial reduction in the error masking probability using SD-SHO. It also demonstrates that SD-SHO achieves a satisfactory level of area and power reduction compared with other existing works.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12029","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82415061","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Automatic diagnosis of single fault in interconnect testing of SRAM-based FPGA 基于sram的FPGA互连测试中单个故障的自动诊断
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-04-04 DOI: 10.1049/cdt2.12028
T. Nirmalraj, S. Radhakrishnan, S.K. Pandiyan
{"title":"Automatic diagnosis of single fault in interconnect testing of SRAM-based FPGA","authors":"T. Nirmalraj,&nbsp;S. Radhakrishnan,&nbsp;S.K. Pandiyan","doi":"10.1049/cdt2.12028","DOIUrl":"10.1049/cdt2.12028","url":null,"abstract":"<p>Fault detection and diagnosis of a Field-Programmable Gate Array (FPGA) in a short period is vital particularly in reducing the dead time of critical applications that are running on FPGAs. Thus, this paper proposes a new technique that is able to uniquely identify any single stuck-at fault's location along with the type of fault. Also, the presented technique is able to locate any single pair-wise bridging fault and distinguish between the two types of common faults. The presented technique uses the Walsh Code method to significantly reduce the number of test configurations when compared with previous methods. Extensive testing of the proposed method is carried out on a series of ISCAS’89 benchmark circuits being implemented in different FPGA families. From the simulation results, the maximum number of configurations needed for interconnect fault detection and diagnosis is <math>\u0000 <mrow>\u0000 <msub>\u0000 <mrow>\u0000 <mi>log</mi>\u0000 </mrow>\u0000 <mn>2</mn>\u0000 </msub>\u0000 <mrow>\u0000 <mo>(</mo>\u0000 <mfrac>\u0000 <mrow>\u0000 <mi>n</mi>\u0000 <mrow>\u0000 <mo>(</mo>\u0000 <mrow>\u0000 <mi>n</mi>\u0000 <mo>−</mo>\u0000 <mn>1</mn>\u0000 </mrow>\u0000 <mo>)</mo>\u0000 </mrow>\u0000 </mrow>\u0000 <mn>2</mn>\u0000 </mfrac>\u0000 <mo>)</mo>\u0000 </mrow>\u0000 <mo>+</mo>\u0000 <mn>3</mn>\u0000 </mrow></math> where <i>n</i> is the number of nets under test. It is noted that the proposed method is able to reduce the total number of test configurations by <math>\u0000 <mrow>\u0000 <msub>\u0000 <mrow>\u0000 <mi>log</mi>\u0000 </mrow>\u0000 <mn>2</mn>\u0000 </msub>\u0000 <mrow>\u0000 <mo>(</mo>\u0000 <mi>n</mi>\u0000 <mo>+</mo>\u0000 <mn>2</mn>\u0000 <mo>)</mo>\u0000 </mrow>\u0000 </mrow></math> when compared with previously published methods available in the literature.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-04-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12028","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86920312","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Homeland security video surveillance system utilising the internet of video things for smart cities 国土安全视频监控系统利用视频物联网为智慧城市
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-04-04 DOI: 10.1049/cdt2.12014
Yasser Ismail, Mohamed Hammad, Mahmoud Darwich, Wael Elmedany
{"title":"Homeland security video surveillance system utilising the internet of video things for smart cities","authors":"Yasser Ismail,&nbsp;Mohamed Hammad,&nbsp;Mahmoud Darwich,&nbsp;Wael Elmedany","doi":"10.1049/cdt2.12014","DOIUrl":"10.1049/cdt2.12014","url":null,"abstract":"<p>In the contemporary video surveillance system, there have been many efforts made to maintain high security and extend the coverage areas in most of the countries around the world. The deployment of many surveillance cameras and sensors capable of detecting abnormal and meaningful events on the territories' streets and airports is an aspect of internal security. There are two main problems that affect the homeland security system, and the security cameras and sensors are not enough to cover all areas in the country. This is because of the high cost of the video surveillance cameras and the sensor installations, and the non-standardisation of security cameras and sensors manufacturing, which is due to the differentiated infrastructure of companies or organizations that provide home security. The authors introduce a design and hardware implementation of a motion estimation (ME) co-processor that can be used for video surveillance cameras in homeland security. The proposed ME co-processor, if adopted in video surveillance cameras, can be connected utilising an internet of video things infrastructure (IoVT). The proposed co-processor is suited for high-efficiency encoding video surveillance systems (H.265/HEVC). Furthermore, to reduce the memory I/O, data reuse Level A and Level B have been used in the proposed architecture while taking full advantage of the hardware resources. Moreover, an effective local memory has been used to reuse the data during the process of loading both the search area and the current block into the processing element array (PE array). The performance of the proposed architecture has been calculated using subjective and quantitative measures techniques and compared to the full search block-based motion estimation (FSBB-ME) algorithm. Moreover, the proposed architecture achieves a very high video resolution accuracy that is similar to the accuracy of the FSBB-ME algorithm. Modelism-version10.4a has been used for simulation and time verification testing proposes. The proposed ME co-processor can be embedded in the compressing decompressing and high definition broadcast for video surveillance systems.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-04-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12014","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73805198","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Coupled variable-input LCG and clock divider-based large period pseudo-random bit generator on FPGA 基于FPGA的耦合可变输入LCG和时钟分频器大周期伪随机位发生器
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-04-04 DOI: 10.1049/cdt2.12027
Mangal D. Gupta, Rajeev K. Chauhan
{"title":"Coupled variable-input LCG and clock divider-based large period pseudo-random bit generator on FPGA","authors":"Mangal D. Gupta,&nbsp;Rajeev K. Chauhan","doi":"10.1049/cdt2.12027","DOIUrl":"10.1049/cdt2.12027","url":null,"abstract":"<p>The authors present a new method for the generation of pseudorandom bits, based on coupled variable input linear congruential generator (LCG) and a clock divider. To prevent the system from falling into short-period orbits as well as increasing the randomness of the generated bit sequences, the proposed algorithm periodically changes the seed parameters of the LCG blocks. The proposed clock divider-based pseudorandom bit generator is compared with other LCG-based realisations, showing great improvement. First, a clock divider is utilised for generating a maximum length of 2<sup>2<b><i>n</i></b></sup> pseudorandom bits for <i>n</i>-bit operands size which leads to lowering the hardware cost. Secondly, it generates high-speed random bits at a uniform clock rate with one initial clock latency. Third, the proposed technique provides good statistical properties. The proposed architecture is implemented using Verilog HDL and further prototyped on commercially available field programmable gate array (FPGA) devices Virtex-5, Virtex-7, and Artix-7. The realisation of the proposed architecture in these FPGA devices accomplishes an improved data throughput and utilises minimum FPGA resources (in terms of look-up-tables and flip-flops) which are compared with the existing techniques. The generated bit sequence from the experiment is further analysed briefly for sequence size and verified for randomness by using the National Institute of Standards and Technology benchmark test.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-04-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12027","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85914620","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Noise-based logic locking scheme against signal probability skew analysis 基于噪声的信号概率偏斜逻辑锁定方案
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-03-31 DOI: 10.1049/cdt2.12022
Ahmad Rezaei, Ali Mahani
{"title":"Noise-based logic locking scheme against signal probability skew analysis","authors":"Ahmad Rezaei,&nbsp;Ali Mahani","doi":"10.1049/cdt2.12022","DOIUrl":"10.1049/cdt2.12022","url":null,"abstract":"<p>Due to integrated circuit (IC) production chain globalisation, several new threats such as hardware trojans, counterfeiting and overproduction are threatening the IC industry. So logic locking is deployed to hinder these security threats. In this technique, an IC is locked, and its functionality is retrieved when the right key is loaded onto it. We propose ‘noise-based’ logic locking, consisting of two separate compliment blocks, which function in three states. By flipping a signal once in the circuit, these modules add corruption to the circuit, whereas either flipping the same signal twice or not flipping leads to the correct functionality. Thus, a low probability skew with a low corruption in the output is obtained by utilisation of these flipping states. We have improved SAT attack resiliency based on time by 17% for a locking block with 14 primary inputs in comparison with the well-known anti-SAT. The area overhead is less in comparison with other schemes, in which extra dummy parts or obfuscation elements are added to their circuit. Also, more crucially, our locking blocks are immune to SPS attack solely. After executing various attacks, retrieved circuits indicate improved overall resiliency against automatic test pattern generation based and approximate guided removal attacks as well.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-31","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12022","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76173626","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Enhancing the security of memory in cloud infrastructure through in-phase change memory data randomisation 通过相变存储器数据随机化增强云基础设施中存储器的安全性
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-03-31 DOI: 10.1049/cdt2.12023
Xianzhong Zhou, Ying Wang
{"title":"Enhancing the security of memory in cloud infrastructure through in-phase change memory data randomisation","authors":"Xianzhong Zhou,&nbsp;Ying Wang","doi":"10.1049/cdt2.12023","DOIUrl":"10.1049/cdt2.12023","url":null,"abstract":"<p>As a promising alternative to dynamic RAM, phase change memory (PCM) suffers from limited write endurance. Therefore, many research proposals on PCM security or reliability have focussed on the possible threat of wear-out attacks from malicious applications. However, it is also found that the non-volatile nature and the programming behaviour of PCM bring other security challenges to the memory system. The authors examine the potential risk of information leakage and theft in memory management for PCM-based cloud server or multitenant systems. By observing the influence of process variation (PV) on PCM cell programming, they propose a fast and efficient in-memory data obfuscation mechanism to defend against memory attacks or information leakage during page reallocation mandated by OS. With the capabilities of in-memory data randomisation, the proposed SecuRAM avoids the long write latency of PCM cells to erase the content, and achieves higher data initialisation efficiency than conventional software solutions. Second, the proposed SecuRAM also provides a novel solution of fast in-memory hardware fingerprinting and random number generation, which are common and essential security functions in encryption or access authentication to protect confidential memory data from attackers. Two novel techniques are proposed to generate signatures and random numbers: the first is based on partial programming, which works in the same way as bulk data randomisation; the second is loop-counting, which is an overhead-free method by reusing the cell programming mechanism in iterate-write PCM devices. Through evaluation, SecuRAM shows a much better performance and energy-efficiency than conventional measures for PCM memory.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-31","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12023","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80013069","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Static power model for CMOS and FPGA circuits CMOS和FPGA电路的静态功率模型
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-03-23 DOI: 10.1049/cdt2.12021
Anas Razzaq, Andy Ye
{"title":"Static power model for CMOS and FPGA circuits","authors":"Anas Razzaq,&nbsp;Andy Ye","doi":"10.1049/cdt2.12021","DOIUrl":"10.1049/cdt2.12021","url":null,"abstract":"<p>In Ultra-Low-Power (ULP) applications, power consumption is a key parameter for process independent architectural level design decisions. Traditionally, time-consuming Spice simulations are used to measure the static power consumption. Herein, a technology-independent static power estimation model is presented, which can estimate static power with reasonable accuracy in much less time. It is shown that active area only is not a good indicator for static power consumption, hence in this model, the effects of transistor sizing, transistor stacking, gate boosting and voltage change are considered. The procedure to apply this model to processors and FPGAs is demonstrated. Across different process technologies, compared to traditional spice simulation, this model can estimate the static power consumption of processor with an error of 1%–4%, while static power consumption of an FPGA system with an error of 1%–15%.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12021","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82337413","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Modelling and verification of parameterized architectures: A functional approach 参数化架构的建模和验证:一种功能方法
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-03-22 DOI: 10.1049/cdt2.12024
Salah Merniz, Saad Harous
{"title":"Modelling and verification of parameterized architectures: A functional approach","authors":"Salah Merniz,&nbsp;Saad Harous","doi":"10.1049/cdt2.12024","DOIUrl":"10.1049/cdt2.12024","url":null,"abstract":"<p>The merit of higher order functions for hardware description and transformation is widely acknowledged by hardware designers. However, the use of higher order types makes their correctness proof very difficult. Herein, a new proof approach based on the principle of partial application is proposed which transforms higher order functions into partially applied first-order ones. Therefore, parameterised architectures modelled by higher order functions could be easily redefined only over first-order types. The proof could be performed by induction within the same specification framework that avoids translating the higher order properties between different semantics, which remains extremely difficult. Using the notion of parameterisation where verified components are used as parameters to build more complex ones, the approach fits elegantly in the incremental bottom-up design where both the design and its proof could be developed in a systematic way. The potential features of the proposed methodological proof approach are demonstrated over a detailed example of a circuit design and verification within a functional framework.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12024","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80715860","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Fast and low-power leading-one detectors for energy-efficient logarithmic computing 用于高能效对数计算的快速低功耗先导检测器
IF 1.2 4区 计算机科学
IET Computers and Digital Techniques Pub Date : 2021-03-22 DOI: 10.1049/cdt2.12019
Mohammad Saeed Ansari, Shyama Gandhi, Bruce F. Cockburn, Jie Han
{"title":"Fast and low-power leading-one detectors for energy-efficient logarithmic computing","authors":"Mohammad Saeed Ansari,&nbsp;Shyama Gandhi,&nbsp;Bruce F. Cockburn,&nbsp;Jie Han","doi":"10.1049/cdt2.12019","DOIUrl":"10.1049/cdt2.12019","url":null,"abstract":"<p>The logarithmic number system (LNS) can be used to simplify the computation of arithmetic functions, such as multiplication. This article proposes three leading-one detectors (LODs) to speed up the binary logarithm calculation in the LNS. The first LOD (LOD I) uses a single fixed value to approximate the <i>d</i> least significant bits (LSBs) in the outputs of the LOD. The second design (LOD II) partitions the <i>d</i> LSBs into smaller fields and uses a multiplexer to select the closest approximation to the exact value. These two LODs help with error cancellation as they introduce signed errors for inputs <i>N</i> &lt; 2<sup><i>d</i></sup>. Additionally, a scaling scheme is proposed that scales up the input <i>N</i> &lt; 2<sup><i>d</i></sup> to avoid large approximation errors. Finally, an improved exact LOD (LOD III) is proposed that only passes half of the input <i>N</i> to the LOD; the more significant half is passed if there is at least one ‘1’ in that half; otherwise, the less significant half is passed. Our simulation results show that the 32-bit LOD III can be up to 2.8× more energy-efficient than existing designs in the literature. The Mitchell logarithmic multiplier and a neural network are considered to further illustrate the practicality of the proposed designs.</p>","PeriodicalId":50383,"journal":{"name":"IET Computers and Digital Techniques","volume":null,"pages":null},"PeriodicalIF":1.2,"publicationDate":"2021-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ietresearch.onlinelibrary.wiley.com/doi/epdf/10.1049/cdt2.12019","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85907537","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"计算机科学","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信