M. Abe, M. Ueki, M. Tada, T. Onodera, N. Furutake, K. Shimura, S. Saito, Y. Hayashi
{"title":"Highly-oriented PVD Ruthenium Liner for Low-resistance Direct-plated Cu Interconnects","authors":"M. Abe, M. Ueki, M. Tada, T. Onodera, N. Furutake, K. Shimura, S. Saito, Y. Hayashi","doi":"10.1109/IITC.2007.382331","DOIUrl":"https://doi.org/10.1109/IITC.2007.382331","url":null,"abstract":"Low-resistance Cu damascene interconnects have been developed with highly oriented PVD-Ru/TaN liner using a direct-plated Cu process. Texture of the direct-plated Cu on the Ru/TaN is strongly correlated with Ru(002) orientation, enriching Cu(lll) texture comparable with a conventional plated-Cu film on seed-Cu/Ta/TaN. The resistivity of 0.2mum-wide direct-plated Cu lines with the highly (002)-oriented Ru/TaN liner at 20K is 12.4% lower than that of conventional Cu lines with Ta/TaN liner, meaning that the reduction of the resistivity in Cu lines with Ru liner at RT was achieved by smaller interface scattering besides the lower bulk resistivity of Ru than Ta. The orientation control of Ru liner is a key factor for the low-resistance Cu interconnects needed for scaled-down ULSI interconnects.","PeriodicalId":403602,"journal":{"name":"2007 IEEE International Interconnect Technology Conferencee","volume":"63 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122594912","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
M. Farooq, I. Melville, C. Muzzy, P. Mclaughlin, R. Hannon, W. Sauter, J. Muncy, D. Questad, C. Carey, M. Cullinan-Scholl, V. McGahay, M. Angyal, H. Nye, M. Lane, X. H. Liu, T. Shaw, C. Murray
{"title":"Chip Package Interaction for 65nm CMOS Technology with C4 Interconnections","authors":"M. Farooq, I. Melville, C. Muzzy, P. Mclaughlin, R. Hannon, W. Sauter, J. Muncy, D. Questad, C. Carey, M. Cullinan-Scholl, V. McGahay, M. Angyal, H. Nye, M. Lane, X. H. Liu, T. Shaw, C. Murray","doi":"10.1109/IITC.2007.382388","DOIUrl":"https://doi.org/10.1109/IITC.2007.382388","url":null,"abstract":"This paper discusses the chip package interaction (CPI) for a 65 nm low k BEOL CMOS chip assembled to an organic package. Inter-level dielectrics with k~3.0 and k~2.7, with oxide terminations, were used in combination with both Sn/Pb and lead-free C4s. Various underfill compounds were tested to determine their effectiveness in mitigating chip stresses without significantly impairing C4 fatigue life. A summary of the reliability stress results will be presented.","PeriodicalId":403602,"journal":{"name":"2007 IEEE International Interconnect Technology Conferencee","volume":"13 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121856703","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
V. Hoang, G. Doornbos, J. Michelon, A. Kumar, A. Nackaerts, P. Christie
{"title":"Balancing Resistance and Capacitance of Signal Interconnects for Power Saving","authors":"V. Hoang, G. Doornbos, J. Michelon, A. Kumar, A. Nackaerts, P. Christie","doi":"10.1109/IITC.2007.382372","DOIUrl":"https://doi.org/10.1109/IITC.2007.382372","url":null,"abstract":"This paper presents the results of a study on the trade-off between resistance and capacitance of signal interconnects in a low-power circuit to further reduce power consumption without sacrificing speed performance. Simulation and experimental results show that by simply thinning down the interconnect height by half; the power consumption and frequency of a test interconnect-loaded ring- oscillator are improved by 12.7% and 3.5%, respectively. The combination of thin-interconnect with low-operational-power (LOP) transistors, which are designed to have low threshold voltage and to operate at lower supply voltage, results in a 50% reduction in power consumption without penalty in frequency. By thinning down the interconnect height, the RC time constant of the interconnect is increased. However, this increment does not have negative impact on circuit performance until the length of interconnect is above few hundred micrometers. This indicates thin interconnect is suitable for intermediate interconnect levels where the majority of shorter interconnects are located. Reliability study of thin-interconnect shows no sign of electro-migration resistance degradation. Furthermore, only a small impact of process variations on timing of a critical path, which uses thin-interconnects, was observed.","PeriodicalId":403602,"journal":{"name":"2007 IEEE International Interconnect Technology Conferencee","volume":"28 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127704370","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
X. Liu, T. Shaw, M. Lane, E. Liniger, B. Herbst, D. Questad
{"title":"Chip-Package-Interaction Modeling of Ultra Low-k/Copper Back End of Line","authors":"X. Liu, T. Shaw, M. Lane, E. Liniger, B. Herbst, D. Questad","doi":"10.1109/IITC.2007.382334","DOIUrl":"https://doi.org/10.1109/IITC.2007.382334","url":null,"abstract":"Ultra low-k (ULK, k=2.4) dielectric has weaker mechanical properties than first generation low-k films (k=3.0). The introduction of ULK into advanced back end of lines (BEOL) presents a significant challenge due to chip package interaction (CPI) where the packaged die is cycled over a temperature range and the resulting stress can cause ULK BEOL delamination. To avoid CPI failure detailed modeling from the package down to the BEOL must be coupled with quantitative material property measurement. In this paper multi-level finite element models have been used to investigate the parameters which drive CPI failure. It is found that the defect size in the BEOL and the package geometry are key drivers for delamination. Finally, this paper presents a detailed example of the utility of modeling to optimize dicing to reduce defect size, and provide targets for crackstop toughness, which has resulted in a successful reliability qualification of the porous SiCOH (k=2.4) for 45 nm BEOL technology with an organic flip-chip package.","PeriodicalId":403602,"journal":{"name":"2007 IEEE International Interconnect Technology Conferencee","volume":"54 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132613864","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
H. Kitada, T. Suzuki, T. Kimura, H. Kudo, H. Ochimizu, S. Okano, A. Tsukune, S. Suda, S. Sakai, N. Ohtsuka, T. Tabira, T. Shirasu, M. Sakamoto, A. Matsuura, Y. Asada, T. Nakamura
{"title":"The influence of the size effect of copper interconnects on RC delay variability beyond 45nm technology","authors":"H. Kitada, T. Suzuki, T. Kimura, H. Kudo, H. Ochimizu, S. Okano, A. Tsukune, S. Suda, S. Sakai, N. Ohtsuka, T. Tabira, T. Shirasu, M. Sakamoto, A. Matsuura, Y. Asada, T. Nakamura","doi":"10.1109/IITC.2007.382333","DOIUrl":"https://doi.org/10.1109/IITC.2007.382333","url":null,"abstract":"We tried to evaluate and predict the RC delay variability beyond the 45 nm copper interconnects technologies. The RC delay variability as a normalized delay time distribution, is caused by the line width/height variations due to the manufacturing process fluctuations. In order to evaluate the influence of the resistivity size effect precisely, we improved Fuchs-Sondheimer (F-S) and Mayadas-Shatzkes (M-S) models, in order to include the line height dependence of copper grain size, and applied it in the evaluation of the RC delay variability based on the SPICE simulation. In our results, we found that the RC delay variability in the 45nm node technology was relatively small, weakly dependent on the grid size and line height, and almost not affected by the size effect. On the contrary, in the 32 nm technology, the RC delay variability was about 2 times larger than the case ignoring the size effect and reached to the 20% of the average delay time at 3000 grid with 10% of line size fluctuation. In the 32 nm technology, the line height dependence of the RC delay variability was also strong and increased with decreasing line height. The influence of line height dependence of grain size reached about 1/5 or more of the total size effect in the RC delay variability.","PeriodicalId":403602,"journal":{"name":"2007 IEEE International Interconnect Technology Conferencee","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132852510","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Y. Wee, A. Kim, Jung-Eun Lee, J. Maeng, W. Choi, S.W. Nam, Seung-jin Lee, Kyoung-Woo Lee, Jae-Hak Kim, K. Jun, Seungwook Choi, Jaeouk Choo, J. Heo, Hong-jae Shin, N. Lee
{"title":"Electromigration Failure Mechanism and Lifetime Expectation for Bi-Modal Distribution in Cu/Low-k Interconnect","authors":"Y. Wee, A. Kim, Jung-Eun Lee, J. Maeng, W. Choi, S.W. Nam, Seung-jin Lee, Kyoung-Woo Lee, Jae-Hak Kim, K. Jun, Seungwook Choi, Jaeouk Choo, J. Heo, Hong-jae Shin, N. Lee","doi":"10.1109/IITC.2007.382343","DOIUrl":"https://doi.org/10.1109/IITC.2007.382343","url":null,"abstract":"The root cause and an approach to lifetime expectation of bi-modal distribution in Cu/low-k interconnect have been elucidated through experimental and simulation results. The early mode with short failure time and voids at via bottom interface, could be explained by pre-existing voids and large current density resulting from gouging via bottom profile. A high compressive SiCN making Cu/SiCN interface near via into tensile stress causes void nucleation in its specified sites, which indicate the late mode. And component lifetime can be predicted using the data obtained only from early failure, because of the same in activation energy and acceleration factor. This comprehension for bi-modal behavior is helpful in EM reliability of technology node beyond 45 nm.","PeriodicalId":403602,"journal":{"name":"2007 IEEE International Interconnect Technology Conferencee","volume":"428 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123407358","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
H. Wojcik, M. Friedemann, F. Feustelt, M. Albert, S. Ohsiekt, J. Metzgert, J. Voss, J. Bartha, C. Wenze
{"title":"A comparative study of thermal and plasma enhanced ALD Ta-N-C films on SiO2, SiCOH and Cu substrates","authors":"H. Wojcik, M. Friedemann, F. Feustelt, M. Albert, S. Ohsiekt, J. Metzgert, J. Voss, J. Bartha, C. Wenze","doi":"10.1109/IITC.2007.382335","DOIUrl":"https://doi.org/10.1109/IITC.2007.382335","url":null,"abstract":"Thermal and plasma enhanced atomic layer deposition (ALD) of tantalum nitride (TaN) thin films have been performed using PDMAT and NH3, and TBTDET in combination with hydrogen radicals and argon ions, respectively. The films were grown on SiO2, SiCOH and Cu, to study the influence of diverse kinds of substrates on growth behaviour and properties of ultra thin TaN layers. X-ray reflectometry (XRR), transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS) were used to characterize these films. Their step coverage in 65 nm technology test structures and Cu diffusion into bare Si- wafers were also investigated. In the case of thermal ALD resulting non-metallic Ta-N-C films show good growth behaviour on SiO2 and Cu, but some difficulties were revealed on SiCOH. Contrary, the use of plasma led to Ta-C-N films on all three substrates. They are rather tantalum carbide in nature and possess resistivities of less than 300 muOmegacm. A 1 nm PEALD barrier proved to be stable against Cu diffusion during a 30 min anneal at 400degC.","PeriodicalId":403602,"journal":{"name":"2007 IEEE International Interconnect Technology Conferencee","volume":"72 5 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129680505","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
A. Kim, T. Jeong, Miji Lee, Y.J. Moon, Seyoung Lee, Bong-heon Lee, Hyungoo Jeon
{"title":"Line Edge Roughness of Metal Lines and Time-Dependent Dielectric Breakdown Characteristics of Low-k Interconnect Dielectrics","authors":"A. Kim, T. Jeong, Miji Lee, Y.J. Moon, Seyoung Lee, Bong-heon Lee, Hyungoo Jeon","doi":"10.1109/IITC.2007.382376","DOIUrl":"https://doi.org/10.1109/IITC.2007.382376","url":null,"abstract":"We present both experimentally and numerically the effect of the line edge roughness (LER) of metal lines on breakdown characteristics of low-k interconnect dielectrics. Experimental results show that the LER-induced metal-to-metal space variation significantly affects the Weibull slope, field acceleration parameter and hence the time-dependent dielectric breakdown (TDDB) reliability lifetime of sub-100 nm metal-to-metal spacing interconnects. For detailed quantitative explanation of the effect, we have developed a Monte Carlo simulation model, calibrated to experimental results, and performed a number of Monte Carlo simulations under various conditions. Both experimental and numerical simulation results support that lithography and dry etch processes affecting LER are of great importance to ensure robust low-k TDDB reliability of aggressively scaled interconnects.","PeriodicalId":403602,"journal":{"name":"2007 IEEE International Interconnect Technology Conferencee","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126486354","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Y. Uozumi, T. Nakajima, T. Matsumura, Y. Yoshimizu, H. Tomita
{"title":"Development of an Eco-friendly Copper Interconnect Cleaning Process","authors":"Y. Uozumi, T. Nakajima, T. Matsumura, Y. Yoshimizu, H. Tomita","doi":"10.1109/IITC.2007.382341","DOIUrl":"https://doi.org/10.1109/IITC.2007.382341","url":null,"abstract":"This paper reports the development of an eco-friendly, low-cost and clean wet cleaning process in forming copper interconnections, especially on a copper surface at the bottom of the via. From analysis and electrical data, the components of post-etch residues are mainly formed by copper fluorides, copper oxides and silicon oxides. Therefore, diluted inorganic acid solutions are used to remove these polymers and a diluted amine solution is used to stabilize the copper surface after cleaning. These chemicals are treated by an effluent treatment facility, and are very cheap and clean. In the results of post-cleaning, the analysis data shows that the residues are removed, and the electrical data such as via chain yield, electro migration, etc., shows good performance.","PeriodicalId":403602,"journal":{"name":"2007 IEEE International Interconnect Technology Conferencee","volume":"15 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121149344","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
N. Ohashi, E. Soda, T. Suzuki, S. Kondo, N. Oda, S. Ogawa, S. Saito
{"title":"Capacitance reduction effect using capping-layer removal process for porous low-k (k=2.5)/Cu system toward 45nm technology node","authors":"N. Ohashi, E. Soda, T. Suzuki, S. Kondo, N. Oda, S. Ogawa, S. Saito","doi":"10.1109/IITC.2007.382365","DOIUrl":"https://doi.org/10.1109/IITC.2007.382365","url":null,"abstract":"The Cu interconnects with porous SiOC-CVD (=p-SiOC, k=2.5) was successfully integrated into 45 nm technology node featuring an effective k-value (=k-eff) decreasing process. The decrease in k-eff was achieved by removing the capping layer on p-SiOC film and the damaged interface layer in p-SiOC using dry-etching process. Using this capping layer dry-etching process (=CEP), a 10% reduction in k-eff and a highly improved line-to-line leakage as well as longer TDDB lifetime are obtained for 45 nm technology node with 140 nm pitch metallization.","PeriodicalId":403602,"journal":{"name":"2007 IEEE International Interconnect Technology Conferencee","volume":"17 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-06-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128038928","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}