Micro and Nano Engineering最新文献

筛选
英文 中文
Low-frequency electromagnetic harvester for wind turbine vibrations 用于风力涡轮机振动的低频电磁采集器
IF 2.8
Micro and Nano Engineering Pub Date : 2024-09-13 DOI: 10.1016/j.mne.2024.100287
{"title":"Low-frequency electromagnetic harvester for wind turbine vibrations","authors":"","doi":"10.1016/j.mne.2024.100287","DOIUrl":"10.1016/j.mne.2024.100287","url":null,"abstract":"<div><p>In this paper we describe and fully characterize a novel vibration harvester intended to harness energy from the vibration of a wind turbine (WT), to potentially supply power to sensing nodes oriented to structural health monitoring (SHM). The harvester is based on electromagnetic conversion (EM) and can work with vibrations of ultra-low frequencies in any direction of a plane. The harvester bases on a first prototype already disclosed by the authors, but in this paper, we develop an accurate model parameterized by a combination of physical parameters and others related to the geometry of the device. The model allows predicting not only the power generation capabilities, but also the kinematic behaviour of the harvester. Model parameters are estimated by an identification procedure and validated experimentally. Last, the harvester is tested in real conditions on a wind turbine.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-09-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000509/pdfft?md5=2b7b2a9954f6e29b0ae7cf9707532bc9&pid=1-s2.0-S2590007224000509-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142232865","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
From ghost to state-of-the-art process corrections – PEC enabled e-beam nanofabrication 从 "幽灵 "到最先进的工艺修正--PEC 电子束纳米制造技术
IF 2.8
Micro and Nano Engineering Pub Date : 2024-09-11 DOI: 10.1016/j.mne.2024.100286
{"title":"From ghost to state-of-the-art process corrections – PEC enabled e-beam nanofabrication","authors":"","doi":"10.1016/j.mne.2024.100286","DOIUrl":"10.1016/j.mne.2024.100286","url":null,"abstract":"","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-09-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000492/pdfft?md5=f62ae4e676dd49bc44a517f03b915f2a&pid=1-s2.0-S2590007224000492-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142229886","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Single cell separation in microplates through micro patterning of “clickable” hydrogels 通过 "可点击 "水凝胶的微图案化实现微孔板中的单细胞分离
IF 2.8
Micro and Nano Engineering Pub Date : 2024-09-03 DOI: 10.1016/j.mne.2024.100285
{"title":"Single cell separation in microplates through micro patterning of “clickable” hydrogels","authors":"","doi":"10.1016/j.mne.2024.100285","DOIUrl":"10.1016/j.mne.2024.100285","url":null,"abstract":"<div><p>In this study, we report a novel approach for separating microspheres or cells on microstructured surfaces. These structures consist of μ-structured hydrogel coatings fabricated by photolithography on the bottoms of standard plastic microplate wells. The process is based on the deposition and subsequent irradiation of copolymers containing a hydrophilic main component and benzophenone moieties that can react with C, H groups during UV exposure through a photomask, a process known as “C,H insertion crosslinking” (CHic). The photolithographic process is used to generate an egg-box-like topography of the coating. Gravity, Brownian motion, and physical surface interactions drive particles or cells pipetted onto the surfaces to distinct locations on this topography so that after a short time these locations contain only one single particles or cells. We show that the presented technique enables the separation of thousands of objects as different as polymer microparticles or biological cells by simply adding a suspension to the coated wells of the microplate and wait for a short time (a few minutes). This strategy is quite general and not specific to a certain type of cell or microparticle and thus allow effortless separation of particles or cells.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-09-03","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000480/pdfft?md5=82c83607116a2e2bcbb15cedee173954&pid=1-s2.0-S2590007224000480-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142163459","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Removable support beams to improve the printing outcome of 2-photon-polymerized structures 可移动支撑梁改善双光子聚合结构的印刷效果
IF 2.8
Micro and Nano Engineering Pub Date : 2024-09-01 DOI: 10.1016/j.mne.2024.100283
{"title":"Removable support beams to improve the printing outcome of 2-photon-polymerized structures","authors":"","doi":"10.1016/j.mne.2024.100283","DOIUrl":"10.1016/j.mne.2024.100283","url":null,"abstract":"<div><p>Using direct-laser writing, 3D microstructures of almost every shape can be fabricated. However, using liquid photoresists, the fabrication of free-floating structures is still challenging. To give stability to those structures during the printing process, support beams can be implemented which are usually not needed for direct-laser writing. With that, free-floating elements can be fixed and are not distorted due to unwanted movement during fabrication. In this work, the design, realization and characterization of support beams for the printing outcome of 2-photon polymerization processes is examined. The support beams described here connect the static and the rotating part of flap-like structures. Experimental results show that two thin cone-shaped support beams are sufficient to stabilize the flaps (typical size <span><math><mn>20</mn><mo>×</mo><mn>50</mn><mspace></mspace><mi>μ</mi><msup><mi>m</mi><mn>2</mn></msup></math></span>) so that they are not distorted during printing. After finishing the writing process, the support beams can be broken with a gentle nitrogen stream and the structures move freely. Structures like these can for example be implemented in microfluidic channels to work as flow direction pointers or self-closing cell traps.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-09-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000467/pdfft?md5=3ace89fb3eb57c8c72b28de638ded657&pid=1-s2.0-S2590007224000467-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142130226","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Evaluation of highly sensitive vibration states of nanomechanical resonators in liquid using a convolutional neural network 利用卷积神经网络评估液体中纳米机械谐振器的高灵敏度振动状态
IF 2.8
Micro and Nano Engineering Pub Date : 2024-09-01 DOI: 10.1016/j.mne.2024.100282
{"title":"Evaluation of highly sensitive vibration states of nanomechanical resonators in liquid using a convolutional neural network","authors":"","doi":"10.1016/j.mne.2024.100282","DOIUrl":"10.1016/j.mne.2024.100282","url":null,"abstract":"<div><p>Nanomechanical resonators can detect various small physical quantities with high sensitivity using changes in resonant properties. However, viscous damping in liquids significantly reduces the measurement sensitivity. This study proposes convolutional neural network (CNN) vibration spectrum analysis to evaluate the highly sensitive vibration states of nanomechanical resonators, which are useful for in-liquid measurements. This research was carried out through the measurement of acetone concentration. First, we compared the concentration classification ability between the proposed and conventional methods and determined that the proposed method of analyzing vibration spectral changes using the CNN model can provide higher measurement sensitivity than the conventional measurement method of observing resonance properties changes and comparing the values for each measurement condition. This result shows that CNN-based spectral analysis is effective for the vibration spectra of in-liquid measurements. Next, gradient-weighted class activation mapping (Grad-CAM) was applied to verify which frequency bands are important for concentration classification in CNN model decision-making. The vibration states in these frequency bands were analyzed in terms of oscillation modes. This analysis revealed significant oscillation modes of the nanomechanical resonator in the liquid environment. Notably, in addition to the resonance states utilized in the conventional method, several other oscillation modes were found to be significant for measurements. This finding suggests that these oscillation modes may be highly sensitive for measurements in liquid environments. Among these oscillation modes, the mode with very small amplitude is highly promising for achieving unprecedented levels of sensitivity in sensing technologies.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-09-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000455/pdfft?md5=eb60cf33681506de331c155fcd8f695b&pid=1-s2.0-S2590007224000455-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142151745","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Dry etch performance of Novolak-based negative e-beam resist 基于 Novolak 的电子束负型抗蚀剂的干蚀刻性能
IF 2.8
Micro and Nano Engineering Pub Date : 2024-08-30 DOI: 10.1016/j.mne.2024.100284
{"title":"Dry etch performance of Novolak-based negative e-beam resist","authors":"","doi":"10.1016/j.mne.2024.100284","DOIUrl":"10.1016/j.mne.2024.100284","url":null,"abstract":"<div><p>Electron beam lithography (EBL) is pivotal for micro- and nanoscale fabrication, offering sub-micron precision. This study explores the utilization of the Novolac-based negative resist AR-N 7520 for EBL and its potential as an etch mask for reactive ion etching (RIE) of silicon. Recent comparisons of negative EBL resists have revealed promising results for AR-N 7520 in terms of resolution and adaptability with other lithography techniques. In this article, we conduct an exploration of patterning of AR-N 7520 (new) for EBL, addressing key parameters in achieving optimal patterning fidelity. Furthermore, we investigate its compatibility with RIE processes, aiming to provide insights into its effectiveness as an etch mask for creating sub-micron silicon structures. Experimental results show that optimal e-beam dose with 100 kV exposure is 300–350 μC/cm<sup>2</sup>. Selectivity of around 9:1 can be achieved by optimizing etching parameters for a continuous etch and higher than 14:1 for a cyclic etch process.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-08-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000479/pdfft?md5=1916c605ea23c988a5ccc6c279b435e4&pid=1-s2.0-S2590007224000479-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142158141","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Lithographic resists as amazing compact imaging systems – A review 作为惊人的紧凑型成像系统的光刻胶 - 综述
IF 2.8
Micro and Nano Engineering Pub Date : 2024-08-14 DOI: 10.1016/j.mne.2024.100280
{"title":"Lithographic resists as amazing compact imaging systems – A review","authors":"","doi":"10.1016/j.mne.2024.100280","DOIUrl":"10.1016/j.mne.2024.100280","url":null,"abstract":"<div><p>Considering the goal of lithography under its most general aspect – that is, transferring and recording mask or template information in the form of contrast between the imaged and non-imaged areas of a resist film coated on a flat surface – three lithographic resist imaging mechanisms can be recognized. Depending on the nature of the resist film, this contrast may be based on intrinsic or photo- or radiation-induced differential solubility between the imaged and non-imaged part of the resist film in fine art lithography, photolithography, and radiation lithography, respectively, or pressure driven flow and confinement of resist in imprint lithography, or thermodynamically driven phase separation of resist constituents in directed self-assembly lithography. This contrast forms the basis of the printed image and ultimately derives from the forces that underlie the old chemist's rule: “Oil and water do not mix.” Crucially, to create this contrast, the resist film must transform a two-dimensional image of the mask or template into a three-dimensional relief image on the substrate in a process that is highly non-linear. By creating the contrast in this manner, the resist film serves as a compact imaging system that senses, records, stores, and displays the mask image. Additionally, the resist film must maintain its structural and mechanical integrity to “resist” and withstand the harshness of other post-imaging processes such as etching, ion implantation, electroplating, etc. Following all necessary post-imaging processes, the resist film must be stripped or be left and incorporated into the final device. A versatile material that serves a multiplicity of functions and is operational in many dimensions is not only amazing but also forms the irreducible essence of lithography. By drawing on fundamental, theoretical, and experimental studies of molecular processes involved in lithographic resist imaging, this review paper explains how the resist film performs the above essential functions.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-08-14","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000431/pdfft?md5=64a88eb83a6bf8e1b3f71c8570b31d75&pid=1-s2.0-S2590007224000431-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141992928","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
No-code method for lithography template cell parameterization for faster design cycles 无代码光刻模板单元参数化方法,加快设计周期
IF 2.8
Micro and Nano Engineering Pub Date : 2024-08-10 DOI: 10.1016/j.mne.2024.100281
{"title":"No-code method for lithography template cell parameterization for faster design cycles","authors":"","doi":"10.1016/j.mne.2024.100281","DOIUrl":"10.1016/j.mne.2024.100281","url":null,"abstract":"<div><p>This work presents a Python-based architecture packaged as a standalone tool, to enable the parameterization of lithography structures without the need for scripting. By feeding a lithography template file obtained from an existing layout editor into the tool, a ‘scaffold’ shape is created and recognised. The tool allows for the parameterization of created geometries and the establishment of parameterized rules between geometric features, which can be conveniently modified in tabular format. This work facilitates no-code procedural generation of geometrically distinct instances, significantly reducing the time required for complex lithography template development compared to traditional scripting methods.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-08-10","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000443/pdfft?md5=b849aebcd1e12eaf2f43bad6dfa2cea8&pid=1-s2.0-S2590007224000443-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141979005","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Ag-deposited nanostructured Boehmite substrates for the detection of explosives with surface enhanced Raman spectroscopy 利用表面增强拉曼光谱检测爆炸物的银沉积纳米结构勃姆石衬底
IF 2.8
Micro and Nano Engineering Pub Date : 2024-08-04 DOI: 10.1016/j.mne.2024.100279
{"title":"Ag-deposited nanostructured Boehmite substrates for the detection of explosives with surface enhanced Raman spectroscopy","authors":"","doi":"10.1016/j.mne.2024.100279","DOIUrl":"10.1016/j.mne.2024.100279","url":null,"abstract":"<div><p>We propose aluminum oxide (Boehmite) sputter-deposited with Ag substrates for Surface-Enhanced Raman Spectroscopy (SERS). These substrates are cost-effective and easily fabricated by heating aluminum in an aqueous environment to create Boehmite, followed by Ag sputtering. The metal deposition is optimized, resulting in random arrays of Ag nanostructures with a diameter of ∼100 nm and a spacing of &lt;100 nm leading to significant enhancement of the Raman signal. The performance and sensitivity of the substrates are initially tested with the use of Crystal Violet analyte which results in limits of detection close to 10<sup>−10</sup>M. These substrates are used for the rapid detection of four different explosive compounds: Nitroglycerin (NG), Picric Acid (PA), Cyclotrimethylene trinitramine (RDX) and 2,4,6-Trinitrophenylmethylnitramine (Tetryl). A series of Raman spectra are collected for these four selected explosives on the fabricated substrates and principal component analysis (PCA) was used for proper evaluation and identification of the corresponding measured spectra.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-08-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S259000722400042X/pdfft?md5=de10afe563b5ce1f74f89ab83ce3319b&pid=1-s2.0-S259000722400042X-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141962774","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Quantifying pore spatial uniformity: Application on membranes before and after plasma etching 量化孔隙空间均匀性:等离子刻蚀前后在膜上的应用
IF 2.8
Micro and Nano Engineering Pub Date : 2024-07-31 DOI: 10.1016/j.mne.2024.100278
{"title":"Quantifying pore spatial uniformity: Application on membranes before and after plasma etching","authors":"","doi":"10.1016/j.mne.2024.100278","DOIUrl":"10.1016/j.mne.2024.100278","url":null,"abstract":"<div><p>Membranes play a critical role in diverse applications, including filtration and tissue engineering. The importance of membrane performance optimization highlights the necessity of accurately characterizing the pore structure. Traditional Pore Size Distribution methodologies are widely used to quantify size uniformity. Uniformity though, integrates both size and spatial pore structure aspects, thus necessitating the synergy of complementary techniques to analyze pore structure. This work empowers classic pore metrology with stochastic geometry, specifically the Nearest Neighbour Index (NNI) to assess the spatial uniformity of pores in membrane Scanning Electron Microscopy (SEM) images. Through a comprehensive analysis of Polytetrafluoroethylene (PTFE) before and after plasma etching, along with nanofilament coated Polyethersulfone (PES) membranes, this analysis enhances our understanding of membrane morphology through pore structure and pore spatial arrangement. The findings indicate that increasing magnification leads to a decrease in apparent spatial uniformity, indicative of effects regarding the inclusion in analysis of families of finer pores. In almost all cases, NNI values show higher uniformity compared to a fully random scenario. Additionally, it is found that plasma etching does not have significant effects on spatial uniformity introducing only a slight uniformity in pore centroid arrangement, reflected in a small NNI increase. Furthermore, a pore area shuffling technique reveals the effects of pore density and size on spatial uniformity, highlighting patterns inherent to the materials under study.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":2.8,"publicationDate":"2024-07-31","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://www.sciencedirect.com/science/article/pii/S2590007224000418/pdfft?md5=88a2d1b5f4316afeeaf425b5362a4e4c&pid=1-s2.0-S2590007224000418-main.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141962533","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信