2011 IEEE International SOC Conference最新文献

筛选
英文 中文
A register-transfer level testability analyzer 一个寄存器传输级可测试性分析器
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085107
Yen-An Chen, Chun-Yao Wang, Ching-Yi Huang, Hsiu-Yi Lin
{"title":"A register-transfer level testability analyzer","authors":"Yen-An Chen, Chun-Yao Wang, Ching-Yi Huang, Hsiu-Yi Lin","doi":"10.1109/SOCC.2011.6085107","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085107","url":null,"abstract":"This paper presents a statistic-based method to estimate the testability of a design at Register-Transfer Level. This testability estimation technique is composed of a new proposed high-level design representation and a Monte Carlo simulation which exploits a statistic model to bound the error rate and confidence level of simulation results. The experimental results show that the proposed method can efficiently report more than 60% hard-to-test points of an RL design on average prior to the synthesis task.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122693837","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
TSV sharing through multiplexing for TSV count minimization in high-level synthesis 在高级合成中通过多路复用实现TSV计数最小化的TSV共享
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085124
Wen-Pin Tu, Yen-Hsin Lee, Shih-Hsu Huang
{"title":"TSV sharing through multiplexing for TSV count minimization in high-level synthesis","authors":"Wen-Pin Tu, Yen-Hsin Lee, Shih-Hsu Huang","doi":"10.1109/SOCC.2011.6085124","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085124","url":null,"abstract":"TSV count minimization is an important topic for 3D ICs. In previous works, TSV sharing is limited to the same functional unit output and the same functional unit input. In this paper, we present the first attempt to use multiplexers to extend TSV sharing for cross-layer data transfers that have different functional unit outputs and different functional unit inputs. We use an ILP (integer linear programming) to formally draw up the simultaneous application of resource binding, layer assignment, and TSV sharing (through multiplexing) to minimize the TSV count. Compared with previous works, our approach can greatly further reduce the TSV count.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131146206","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Fair rate packet arbitration in Network-on-Chip 片上网络中的公平速率分组仲裁
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085085
F. Guderian, E. Fischer, M. Winter, G. Fettweis
{"title":"Fair rate packet arbitration in Network-on-Chip","authors":"F. Guderian, E. Fischer, M. Winter, G. Fettweis","doi":"10.1109/SOCC.2011.6085085","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085085","url":null,"abstract":"This paper proposes two new arbitration techniques to enable fair link bandwidth allocation. One technique is a weighted round-robin scheme with weights based on the number of contending flows at the input port. The second technique is an age-based scheme with probabilistic arbitration where the traversed packet distance approximates age. Opposed to existing work, both schemes reach almost absolute fairness of link bandwidth allocation and simplify calculation of arbitration metrics.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114671459","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
A high-performance low VMIN 55nm 512Kb disturb-free 8T SRAM with adaptive VVSS control 高性能低VMIN 55nm 512Kb无扰动8T SRAM,具有自适应VVSS控制
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085080
Hao-I Yang, Shih-Chi Yang, Mao-Chih Hsia, Yung-Wei Lin, Yi-Wei Lin, Chien-Hen Chen, Chi-Shin Chang, Geng-Cing Lin, Yin-Nien Chen, C. Chuang, W. Hwang, S. Jou, Nan-Chun Lien, Hung-Yu Li, Kuen-Di Lee, Wei-Chiang Shih, Ya-Ping Wu, Wen-Ta Lee, C. Hsu
{"title":"A high-performance low VMIN 55nm 512Kb disturb-free 8T SRAM with adaptive VVSS control","authors":"Hao-I Yang, Shih-Chi Yang, Mao-Chih Hsia, Yung-Wei Lin, Yi-Wei Lin, Chien-Hen Chen, Chi-Shin Chang, Geng-Cing Lin, Yin-Nien Chen, C. Chuang, W. Hwang, S. Jou, Nan-Chun Lien, Hung-Yu Li, Kuen-Di Lee, Wei-Chiang Shih, Ya-Ping Wu, Wen-Ta Lee, C. Hsu","doi":"10.1109/SOCC.2011.6085080","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085080","url":null,"abstract":"This paper describes a high-performance low VMIN SRAM with a disturb-free 8T cell. The SRAM utilizes single-ended buffer Read, and cross-point data-aware Write Word-Line structure with adaptive VVSS control to eliminate Read disturb and Half-Select disturb, thus facilitating bit-interleaving architecture and achieving low VMIN. A 512Kb test chip is implemented in UMC 55nm Standard Performance (SP) CMOS technology. The measurement results demonstrate operating frequency of 943MHz at 1.2V VDD and 209MHz at 0.6V VDD.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114143366","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
VLSI design of area-efficient memory access architectures for quasi-cyclic LDPC codes 准循环LDPC码的区域高效存储器访问体系结构的VLSI设计
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085108
Ming-Der Shieh, Shih-Hao Fang, Shing-Chung Tang, Der-Wei Yang
{"title":"VLSI design of area-efficient memory access architectures for quasi-cyclic LDPC codes","authors":"Ming-Der Shieh, Shih-Hao Fang, Shing-Chung Tang, Der-Wei Yang","doi":"10.1109/SOCC.2011.6085108","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085108","url":null,"abstract":"This paper proposes an area-efficient memory access architecture that merges small memory blocks into memory groups to relax the effect of peripherals in small memory blocks. An efficient algorithm is also presented to handle the additional delay elements. The proposed LDPC decoder has the lowest area complexity among related studies.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133268217","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Compiler-assisted technique for rapid performance estimation of FPGA-based processors 基于fpga处理器的快速性能评估的编译器辅助技术
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085116
Y. Aung, S. Lam, T. Srikanthan
{"title":"Compiler-assisted technique for rapid performance estimation of FPGA-based processors","authors":"Y. Aung, S. Lam, T. Srikanthan","doi":"10.1109/SOCC.2011.6085116","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085116","url":null,"abstract":"This paper proposes a compiler-assisted technique to rapidly estimate performance of a wide range of FPGA processors without requiring actual execution on target processor or ISS. Experimental results show that this technique estimates performance of a widely-used FPGA processor with an average error of 2% in the order of seconds.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133356106","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
“Manufacturing test of systems-on-a-chip (SoCs)” 片上系统(soc)的制造测试
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085148
J. Abraham
{"title":"“Manufacturing test of systems-on-a-chip (SoCs)”","authors":"J. Abraham","doi":"10.1109/SOCC.2011.6085148","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085148","url":null,"abstract":"Testing chips after manufacture, unlike producing transistors on a chip, does not enjoy the scaling offered by Moore's law. This tutorial will outline the increasing difficulties with manufacturing test and discuss approaches to manage the complexity of testing SoCs, including generation and design-for-test techniques for classic “stuck-at” faults as well as small delay defects which are becoming more common in scaled technologies. Issues with testing embedded analog, mixed-signal and RF modules will be addressed. Test approaches which use the computational resources within a (SoC) to test itself will also be discussed. The embedded processor in the SoC can test itself by running instruction sequences from memory. The processor can be used to test other cores in the SoC, including mixed-signal cores for analog and RF specifications, with the help of design-for-test structures such as on-chip sensors.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114161138","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Recent research and emerging challenges in the System-Level Design of digital microfluidic biochips 数字微流控生物芯片系统级设计的最新研究和新挑战
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085142
P. Pop, E. Maftei, J. Madsen
{"title":"Recent research and emerging challenges in the System-Level Design of digital microfluidic biochips","authors":"P. Pop, E. Maftei, J. Madsen","doi":"10.1109/SOCC.2011.6085142","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085142","url":null,"abstract":"Microfluidic biochips are replacing the conventional biochemical analyzers, and are able to integrate on-chip all the basic functions for biochemical analysis. The “digital” biochips are manipulating liquids not as a continuous flow, but as discrete droplets on a two-dimensional array of electrodes. Basic microfluidic operations, such as mixing and dilution, are performed on the array, by routing the corresponding droplets on a series of electrodes. The challenges facing biochips are similar to those faced by microelectronics some decades ago. Computer-Aided Design tools for microfluidics are in their infancy, and designers are currently using manual, bottom-up design approaches to implement such biochips. Considering their architecture and the design tasks that have to be performed, the design of digital biochips has similarities to the high-level synthesis of integrated circuits. Motivated by this similarity, a few researchers have recently started to propose approaches for the top-down design of biochips. So far, they have assumed that operations are executing on virtual modules of rectangular shape, formed by grouping adjacent electrodes, and which have a fixed placement on the array. However, operations can actually execute by routing the droplets on any sequence of electrodes on the biochip. In this paper, we outline the original module-based synthesis problem, and then we present recent work which eliminates the concept of virtual modules and allows droplets to move on the chip on any route during operation execution. We discuss the advantages of such an approach, and identify the challenges and opportunities of system-level design of digital microfluidic biochips.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114865645","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Computation and communication aware run-time mapping for NoC-based MPSoC platforms 基于noc的MPSoC平台的计算和通信感知运行时映射
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085078
S. Kaushik, Ashutosh Kumar Singh, T. Srikanthan
{"title":"Computation and communication aware run-time mapping for NoC-based MPSoC platforms","authors":"S. Kaushik, Ashutosh Kumar Singh, T. Srikanthan","doi":"10.1109/SOCC.2011.6085078","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085078","url":null,"abstract":"Design-time strategies are suited only for mapping predefined set of applications and thus cannot predict dynamic behavior incurred due to the target applications and state of the platform at run-time. This dynamism demands run-time mapping of application tasks to maintain a critical balance between performance and resource optimization. Any disturbance may either lead to digression from expected performance or complete drain of valuable resources. So, it becomes mandatory to devise algorithms which can intelligently distribute the application tasks among processors taking communication overhead, computation load and resource utilization in consideration. This paper proposes a heuristic that illustrates how to incorporate these factors for mapping multiple tasks onto MPSoC platforms, where communication takes place through a Network-on-Chip. The heuristic attempts to balance the processing load on the platform processing elements (PEs) while reducing communication overhead by mapping highly communicating tasks on the same PE. For MPEG-4 application, the proposed technique reduces total execution time by 33%, resource usage by 37% and energy consumption by 40% when compared to state-of-the-art run-time mapping techniques.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115729856","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
An energy-efficient 10T SRAM-based FIFO memory operating in near-/sub-threshold regions 一种节能的10T基于sram的FIFO存储器,工作在近/亚阈值区域
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085069
Wei-Hung Du, Ming-Hung Chang, Hao-Yi Yang, W. Hwang
{"title":"An energy-efficient 10T SRAM-based FIFO memory operating in near-/sub-threshold regions","authors":"Wei-Hung Du, Ming-Hung Chang, Hao-Yi Yang, W. Hwang","doi":"10.1109/SOCC.2011.6085069","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085069","url":null,"abstract":"In this paper, an ultra-low power (ULP) 16Kb SRAM-based first-in first-out (FIFO) memory is proposed for wireless body area networks (WBANs). The proposed FIFO memory is capable of operating in ultra-low voltage (ULV) regime with high variation immunity. An ULP near-/sub-threshold 10 transistors (10T) SRAM bit-cell is proposed to be the storage element for improving write variation in ULV regime and eliminate the data-dependent bit-line leakage. The proposed SRAM-based FIFO memory also features adaptive power control circuit, counter-based pointers, and a smart replica read/write control unit. The proposed FIFO is implemented to achieve a minimum operating voltage of 400mV in UMC 90nm CMOS technology. The write power is 2.09µW at 50kHz and the read power is 2.25µW at 625kHz.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127824535","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信