2011 IEEE International SOC Conference最新文献

筛选
英文 中文
A 144-configuration context MEMS optically reconfigurable gate array 一种144组态上下文MEMS光可重构门阵列
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085083
Y. Yamaji, Minoru Watanabe
{"title":"A 144-configuration context MEMS optically reconfigurable gate array","authors":"Y. Yamaji, Minoru Watanabe","doi":"10.1109/SOCC.2011.6085083","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085083","url":null,"abstract":"Demand for space uses of FPGAs is increasing to support hardware repair and hardware update functions in addition to software repair and update functions in spacecraft, satellites, space stations, and other applications. However, under a space radiation environment, the incidence of high-energy charged particles causes single or multi-event latch-up (S/MEL)-associated troubles and single or multi-event upset (S/MEU)-associated temporary failures. Although an FPGA, because of its programmability, presents the advantageous capabilities of recovering from and updating after S/MEL-associated troubles, the FPGA can not guard itself completely from S/MEU-associated temporary failures that might arise on its configuration SRAM. This paper therefore presents a proposal for a 144-configuration context MEMS optically reconfigurable gate array that can support a remotely updatable hardware function, can quickly repair S/MEL-associated permanent failures, and can perfectly guard itself from S/MEU-associated temporary failures that can occur in a space radiation environment.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128454104","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Multi-Pheromone ACO-based routing in Network-on-Chip system inspired by economic phenomenon 受经济现象启发的基于多信息素的片上网络路由
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085084
Hsien-Kai Hsin, En-Jui Chang, Chih-Hao Chao, Shu-Yen Lin, A. Wu
{"title":"Multi-Pheromone ACO-based routing in Network-on-Chip system inspired by economic phenomenon","authors":"Hsien-Kai Hsin, En-Jui Chang, Chih-Hao Chao, Shu-Yen Lin, A. Wu","doi":"10.1109/SOCC.2011.6085084","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085084","url":null,"abstract":"Ant Colony Optimization (ACO) is a collective intelligence problem-solving paradigm. By ACO, we can effectively distribute the central control unit to achieve higher performance. With the scaling of Network-on-Chip (NoC) size, more complex communication problems can severely harm the system performance. Therefore, we need more efficient ACO-adaptive routing to achieve better trend prediction for global load-balancing. In this paper, we introduce a Multi-Pheromone ACO-based (MPACO) routing to make better use of the network information and provide a deeper look to the local model. By adopting the concept of Exponential Moving Average (EMA) in stock market, MPACO provide additional dimension aspect: rate of change in network information by laying pheromone with different evaporation speed. The experimental results show that MPACO can achieve higher performance while maintaining similar implementation cost compared to the previous work.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122888573","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
CGA: Combining cluster analysis with genetic algorithm for regression suite reduction of microprocessors 聚类分析与遗传算法相结合的微处理器回归套件缩减
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085105
Liucheng Guo, Jiangfang Yi, L. Zhang, Xiaoyin Wang, Dong Tong
{"title":"CGA: Combining cluster analysis with genetic algorithm for regression suite reduction of microprocessors","authors":"Liucheng Guo, Jiangfang Yi, L. Zhang, Xiaoyin Wang, Dong Tong","doi":"10.1109/SOCC.2011.6085105","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085105","url":null,"abstract":"Regression testing plays an important role in the simulation-based functional verification of microprocessors. Regression suite is maintained in the entire verification phase with an increase of the scale. However, the executing cost is always high when running the entire suite on a RTL-level simulator. Regression suite reduction (called RSR for short) is presented to reduce the executing cost of the regression suite without debasing the quality of the functional verification. For this two-objective RSR of microprocessors, we present a heuristic algorithm which mainly combines cluster analysis with genetic algorithm (called CGA for short). The experiments on some regression suites at different scales for a microprocessor have shown the efficiency and feasibility of CGA. CGA can effectively reduce about 90% of the executing cost without decreasing the functional coverage in an acceptable runtime.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131158363","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Instruction set customization for area-constrained FPGA designs 区域受限FPGA设计的指令集定制
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085114
Alok Prakash, S. Lam, C. Clarke, T. Srikanthan
{"title":"Instruction set customization for area-constrained FPGA designs","authors":"Alok Prakash, S. Lam, C. Clarke, T. Srikanthan","doi":"10.1109/SOCC.2011.6085114","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085114","url":null,"abstract":"Custom instructions are commonly used to meet the strict design constraints in high performance systems. This paper extends the application space of our previously proposed FPGA-aware custom instruction enumeration and selection technique for area-constrained designs that maximizes the logic utilization of the available FPGA space. Results indicate a factor of 4 improvement in cycle savings over conventional selection techniques and an average runtime reduction of over 31% and 50% in the enumeration and selection phases respectively.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127792735","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Well tapping methodologies in power-gating design 电源门控设计中的攻丝方法
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085133
K. Shi, D. Tester
{"title":"Well tapping methodologies in power-gating design","authors":"K. Shi, D. Tester","doi":"10.1109/SOCC.2011.6085133","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085133","url":null,"abstract":"65nm and beyond CMOS designs are commonly implemented with “tapless” library cells which do not provide built-in n-well or substrate taps, improving cell density. This cell efficiency results in additional layout complexity for power-gating designs. Three well tapping methods are described for production power-gating designs considering design schedule, leakage power, chip area and complexity.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133313321","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A silicon core for an acoustic archival tag 用于声学档案标签的硅芯
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085097
G. Fischer, H. Rossby
{"title":"A silicon core for an acoustic archival tag","authors":"G. Fischer, H. Rossby","doi":"10.1109/SOCC.2011.6085097","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085097","url":null,"abstract":"This paper presents a tiny 0.5 µm CMOS chip, which forms the core of an acoustic archival tag. The 1.5×1.5 mm die enables tracking of small fishes by detecting a specific sound signature emitted by moored sources. It also houses a temperature sensor and a pressure sensor interface and controls all internal and external communication. The tag consumes 6 µW in standby mode and approximately 75 µW while the sound arrival time detector is operational.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114258644","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Ultra low power QC-LDPC decoder with high parallelism 具有高并行性的超低功耗QC-LDPC解码器
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085136
Ying Cui, Xiao Peng, Zhixiang Chen, Xiongxin Zhao, Y. Lu, Dajiang Zhou, S. Goto
{"title":"Ultra low power QC-LDPC decoder with high parallelism","authors":"Ying Cui, Xiao Peng, Zhixiang Chen, Xiongxin Zhao, Y. Lu, Dajiang Zhou, S. Goto","doi":"10.1109/SOCC.2011.6085136","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085136","url":null,"abstract":"This paper presents a novel high parallel decoder architecture for the quasi-cyclic low-density parity-check (QC-LDPC) codes defined in WiMAX system. Based on the turbo-decoding message passing (TDMP) algorithm, this architecture costs 8∼16 clock cycles for each iteration in the decoding process. In the normalized comparison with the state-of-art work, this design achieves up to 6.5× higher parallelism and 76% power reduction. The energy/bit/iteration of this design is only 1/5 of the previous work.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114850373","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
A novel methodology for Multi-Project System-on-a-Chip 多项目单片系统的一种新方法
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085090
Chih-Chyau Yang, N. Chang, Shih-Lun Chen, Wei-De Chien, Chi-Shi Chen, Chien‐Ming Wu, Chun-Ming Huang
{"title":"A novel methodology for Multi-Project System-on-a-Chip","authors":"Chih-Chyau Yang, N. Chang, Shih-Lun Chen, Wei-De Chien, Chi-Shi Chen, Chien‐Ming Wu, Chun-Ming Huang","doi":"10.1109/SOCC.2011.6085090","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085090","url":null,"abstract":"In this paper, a novel silicon prototyping methodology is presented for Multi-Project System-on-a-Chip (MP-SoC) implementation. For integrating heterogeneous SoC projects into a single chip, the current SoC methodology is insufficient due to the complexity of MP-SoC. In order to improve the robustness of MP-SoC design and verification, a new design flow was developed. It consists of a virtual platform, a logical implementation, a rapid prototyping platform, a physical implementation, and testing stages. The virtual platform is a system modeling and hardware/software co-design system by using electronic system level (ESL). VIP system is adopted for the AMBA-compliant check of the interfaces of the MP-SoC. In addition, STEAC and DFT were used to facilitate MP-SoC testing integration. The rapid prototyping platform called “CONCORD” which has characteristics of connection flexibility, modularization, and consistence architecture for emulating the hardware of MP-SoC before chip being taped out. The total silicon prototyping cost of these projects can be greatly reduced by sharing a common platform. To demonstrate the effectiveness of the proposed methodology, a MP-SoC chip was implemented with ten SoC projects sharing the common platform. The total silicon area is about 37.97mm2 in the TSMC 0.13um CMOS generic logic process technology. Compared with the total chip area 129.39mm2 by implementing these projects separately, the results show that there are 91.42 mm2 or 70.6 % silicon area reduced by this novel silicon prototyping methodology.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123533919","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
A parametric DFM solution for analog circuits: Electrical driven hot spot detection, analysis and correction flow 模拟电路的参数化DFM解决方案:电驱动的热点检测、分析和校正流程
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085082
R. Salem, A. Arafa, Sherif Hany, Abdelrahman ElMously, H. Eissa, M. Dessouky, D. Nairn, M. Anis
{"title":"A parametric DFM solution for analog circuits: Electrical driven hot spot detection, analysis and correction flow","authors":"R. Salem, A. Arafa, Sherif Hany, Abdelrahman ElMously, H. Eissa, M. Dessouky, D. Nairn, M. Anis","doi":"10.1109/SOCC.2011.6085082","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085082","url":null,"abstract":"As VLSI technology pushes into advanced nodes, designers and foundries have exposed a hitherto insignificant set of yield problems. To combat yield failures, the semiconductor industry has deployed new tools and methodologies commonly referred to as design for manufacturing (DFM). Most of the early efforts concentrated on catastrophic failures, or physical DFM problems. Recently, there has been an increased emphasis on parametric yield issues, referred to as electrical-DFM (e-DFM). In this paper, we present a complete electrical-aware design for manufacturing solution that detects, analyzes, and fixes electrical hotspots (e-hotspots) caused by different process variations within the analog circuit design. Novel algorithms are proposed to implement the engines that are used to develop this solution. Our proposed flow is examined on a 65nm industrial voltage control oscillator (VCO). E-hotspot devices with 5.5% variation in DC current are identified. After fixing the e-hotspots, the DC current variation in these devices is reduced to 0.9%, while saving the original VCO specifications.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123907874","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Low power Gm-boosted differential Colpitts VCO 低功耗通用升压差动科尔匹压控振荡器
2011 IEEE International SOC Conference Pub Date : 2011-11-21 DOI: 10.1109/SOCC.2011.6085109
Yi-Pei Su, Wei-Yi Hu, Jia-Wei Lin, Yun-Chung Chen, S. Sezer, Sao-Jie Chen
{"title":"Low power Gm-boosted differential Colpitts VCO","authors":"Yi-Pei Su, Wei-Yi Hu, Jia-Wei Lin, Yun-Chung Chen, S. Sezer, Sao-Jie Chen","doi":"10.1109/SOCC.2011.6085109","DOIUrl":"https://doi.org/10.1109/SOCC.2011.6085109","url":null,"abstract":"A Low power gm-boosted differential Colpitts voltage-controlled oscillator (VCO) using current-reused technique is presented. With the proposed gm-boosted and current-reused technique, the power consumption of the VCO is decreased. In addition, the superior cyclostationary noise property of the Colpitts VCO can be used to improve the phase noise. The proposed VCO is implemented in 0.18µm CMOS 1P6M process. The core VCO only dissipates 1.05 mW with 1V supply. At 5.255 GHz, the measurements show −117.62 dBc/Hz phase noise at 1 MHz offset.","PeriodicalId":365422,"journal":{"name":"2011 IEEE International SOC Conference","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125353070","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信