{"title":"Test Generation for Crosstalk Glitches Considering Multiple Coupling Effects","authors":"M. Zhang, Xiaowei Li","doi":"10.1109/ATS.2007.30","DOIUrl":"https://doi.org/10.1109/ATS.2007.30","url":null,"abstract":"As the feature size continues to scale into the nanometer era, crosstalk-induced effect begins to exert a more significant influence. In this paper, we address the condition of maximum crosstalk glitch noise considering multiple coupling effects and propose a novel test generation technique for this problem. A multiple crosstalk-induced glitch fault (MCGF) model is introduced, which gives information on one or more sub-paths to be sensitized to generate transitions coupled to a victim line. The test for an MCGF is a 2-vector pattern that sensitizes the transition signal along the sub-path to each aggressor line at the maximum aggressive time (MAT), and propagates the signal on a victim line to an output. A new structure, transition map (TM), is proposed to record all the possible arrival time of a line. The MAT of a victim line is calculated based on effective coupling capacitance (ECC). Therefore, the crosstalk-induced effects can be effectively identified, and exactly activated using the generated test patterns. Experiments on ISCAS89 benchmark circuit show that the proposed technique can be applied to circuits of reasonable sizes within acceptable time.","PeriodicalId":289969,"journal":{"name":"16th Asian Test Symposium (ATS 2007)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133366376","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
C. Giri, Pradeep Kumar Choudhary, S. Chattopadhyay
{"title":"Scan Power Reduction Through Scan Architecture Modification And Test Vector Reordering","authors":"C. Giri, Pradeep Kumar Choudhary, S. Chattopadhyay","doi":"10.1109/ATS.2007.23","DOIUrl":"https://doi.org/10.1109/ATS.2007.23","url":null,"abstract":"Due to higher switching activity within scan chain for scanning in/out of the stimuli/response pair, during testing average and peak power dissipation is much higher than the normal mode operation of a circuit. In our paper we propose a method of reducing dynamic power consumption in scan chain by introducing XOR gate at selected places in the traditional scan chain, there by converting the D flip-flops into T flip-flops temporarily during scan. This approach involves reordering of test vectors but not reordering of the scan cells. Our proposed method is verified with ISCAS89 benchmark circuits, which shows that upto 34% reduction in switching activity within modified scan architecture is possible.","PeriodicalId":289969,"journal":{"name":"16th Asian Test Symposium (ATS 2007)","volume":"9 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125407490","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"System Testing using UML Models","authors":"M. Sarma, R. Mall","doi":"10.1109/ATS.2007.102","DOIUrl":"https://doi.org/10.1109/ATS.2007.102","url":null,"abstract":"Coverage of system states during system testing is a nontrivial problem. It is because the number of system states is usually very large, and system developers often do not construct system state model. In this paper, we propose a method to design system test cases to achieve coverage of system states based on UML models constructed during normal development process. We use UML use case, sequence and class level statechart models to generate a set of sequences of scenarios that can achieve adequate coverage of system states.","PeriodicalId":289969,"journal":{"name":"16th Asian Test Symposium (ATS 2007)","volume":"6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117161155","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Low-Capture-Power Test Generation by Specifying A Minimum Set of Controlling Inputs","authors":"Nan-Cheng Lai, Sying-Jyan Wang","doi":"10.1109/ATS.2007.32","DOIUrl":"https://doi.org/10.1109/ATS.2007.32","url":null,"abstract":"We propose a low capture power test generation method to address the capture power issue in scan-based designs. The proposed approach tries to find a minimum set of input values to determine the output values and thus leave as many X-bits in the input side as possible. These X-bits can be assigned to values that minimize capture power. In the proposed method, the global information of circuit structure is considered to reduce the appearance of unnecessary inconsistent assignments in X-filling procedure. As a result, the algorithm runs similar to previous methods in worst case. Experimental results show that the proposed method provides a better result than previous method and the approach can be adopted with any other advanced test pattern generator.","PeriodicalId":289969,"journal":{"name":"16th Asian Test Symposium (ATS 2007)","volume":"2007 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127310749","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Mahshid Sedghi, Armin Alaghi, Elnaz Koopahi, Z. Navabi
{"title":"An HDL-Based Platform for High Level NoC Switch Testing","authors":"Mahshid Sedghi, Armin Alaghi, Elnaz Koopahi, Z. Navabi","doi":"10.1109/ATS.2007.97","DOIUrl":"https://doi.org/10.1109/ATS.2007.97","url":null,"abstract":"This paper presents a non-scan method of NoC switch testing. The method requires addition of test-mode hardware for NoC switches and processing elements which is much less than what is required for most scan methods. Associated with our proposed test-mode of an NoC, we have developed a test environment based on high-level switch faults. The test environment applies test packets to the NoC-under-test in its test-mode and generates an NoC fault dictionary to be used for error detection of an NoC running in the test-mode. Proposed fault models and test strategy will be discussed in this paper.","PeriodicalId":289969,"journal":{"name":"16th Asian Test Symposium (ATS 2007)","volume":"234 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123335284","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"CREA: A Checkpoint Based Reliable Micro-architecture for Superscalar Processors","authors":"Shijian Zhang, Weiwu Hu","doi":"10.1109/ATS.2007.19","DOIUrl":"https://doi.org/10.1109/ATS.2007.19","url":null,"abstract":"Conventional temporal redundant techniques to detect transient faults have resulted in considerable performance loss. One major reason for this problem is the reclamation of some critical resources, such as the instruction window and physical registers, is delayed, which degrades instruction-level parallelism. This paper proposes a novel fault-tolerant micro-architecture based on checkpoint mechanism. All occupied resources are reclaimed during the retirement stage in the first execution. Therefore, the performance overhead is mitigated evidently. Our scheme requires only small hardware cost and provides short fault detection latency.","PeriodicalId":289969,"journal":{"name":"16th Asian Test Symposium (ATS 2007)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125939611","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Experimental Results of Transition Fault Simulation with DC Scan Tests","authors":"Wataru Kawamura, Takeshi Onodera","doi":"10.1109/ATS.2007.50","DOIUrl":"https://doi.org/10.1109/ATS.2007.50","url":null,"abstract":"The results indicate the effectiveness of the DC scan ATPG algorithm for transition fault detection in actual designs. Even though the at-speed toggle of the scan enable signal needs some DFT assistance such as pipelining, the classical DC scan ATPG algorithm seems worth considering for the first pass of AC scan ATPG runs.","PeriodicalId":289969,"journal":{"name":"16th Asian Test Symposium (ATS 2007)","volume":"25 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114265277","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Invited Talk 1: Testing of Power Constraint Computing","authors":"T. M. Mak","doi":"10.1109/ATS.2007.107","DOIUrl":"https://doi.org/10.1109/ATS.2007.107","url":null,"abstract":"Summary form only given. Computing trend has taken a right hand turn. Instead of utmost performance at any cost, performance level have to be capped with the maximum power dissipation affordable at any given platform. With thermal dissipation mechanisms and energy cost as the primary drivers, computing at any level, from handheld devices to high-end servers, have to be power constraint. Various innovations arise to this challenge: Clock gating, power gating (sleep) transistors, multiple (and individually controlled) power supplies and power regions, fine grain frequency and power control, in-package Voltage Regulator Module, just to name a few. The power issues are also driving some interesting architectural and interconnect/packaging options into the main stream. While there have been innovations in the Test area for the past 25 years, including advanced fault models, such as bridges and opens, various favors of transition, delay path, crosstalk and the various ATPG that support them, power related test innovations have been seriously lacking. Power related testing issues have mostly been restricted to those of scan shifting and matching up of average power consumption. More recently, some papers have pointed to fast (launch and capture) clock related power transients and IR drops related issues, but the solutions is still far from being able to mimic the real situations and solve real world test problems. This talk will mostly focus on the test implications of power constraints over the spectrum of computing products. These implications are also intertwined with extreme process scaling to make conventional testing paradigm no longer valid. Some discussions of the various test options to deal with the power issues will also be reviewed.","PeriodicalId":289969,"journal":{"name":"16th Asian Test Symposium (ATS 2007)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129894153","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
A. Uzzaman, F. Muradali, T. Aikyo, R. Aitken, Tom Jackson, R. Galivanche, Takeshi Onodera
{"title":"Test Roles in Diagnosis and Silicon Debug","authors":"A. Uzzaman, F. Muradali, T. Aikyo, R. Aitken, Tom Jackson, R. Galivanche, Takeshi Onodera","doi":"10.1109/ATS.2007.112","DOIUrl":"https://doi.org/10.1109/ATS.2007.112","url":null,"abstract":"Test catches defective parts. Traditional DFT makes test-related activities more cost effective. Debug and diagnosis discovers why a design or part did not work. Yield relates to how many good parts can be shipped thus how many dollars can be received. As one would expect, all these areas are related. The issue becomes: Can information from one operation be geared to better another? This panel specifically considers how test/ DFT can aid in debug & diagnosis. The discussion is then extended to capture the impact on yield management in riskier contemporary and future processes. Over the past few decades, each of the above domains have gone through cycles of research, development, maturity and overhaul to adapt to changes in the process & product environment. In fact, because increasing degrees of uncertainty is introduced with modern nanometer processes, debug & diagnosis and yield management are becoming more recognized and valued portions of the product creation flow. To forward this, test and DFT functions are experiencing re-development. In building, executing and sustaining a competence, key issues include time & information. That is, the problem must be understood, solved in for the short term and solved for the long term. In addition, solution scope must be properly framed and, if possible, adaptable to unexpected changes in the process and tooling environments. It is well recognized that information availability and access are pillars of a debug/ diagnosis solution. DFT structures, design automation tooling, test programs, ATE applications and physical failure analysis are means to a bulk of this data. The follow through is the assimilation of this data into a yield management and test quality system. As the topic is broad, panel of test experts will focus on practical experiences concerning the use of test, crafted DFT or test environments to facilitate debug and diagnosis. Precise developments in tying debug/ diagnosis to yield management will also be discussed.","PeriodicalId":289969,"journal":{"name":"16th Asian Test Symposium (ATS 2007)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131120551","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Testing RF Components with Supply Current Signatures","authors":"S. S. Akbay, Shreyas Sen, A. Chatterjee","doi":"10.1109/ATS.2007.87","DOIUrl":"https://doi.org/10.1109/ATS.2007.87","url":null,"abstract":"We propose a technique for low-cost testing of radio-frequency components integrating current signatures and alternate test methodology. The technique is suitable for non-invasive built-in test as well as low-cost automated test equipment (ATE) applications. Main features of the technique are (1) minimum loading on signal path by sampling supply current, (2) flexible test stimulus generation based on system constraints, (3) test time reduction by using a single test stimulus and data acquisition, and (4) accurate prediction of all specification values from the single excitation. Two experiments using the proposed implementation demonstrate the accuracy and efficiency of the technique on both single-balanced and double-balanced mixers built with two different technologies.","PeriodicalId":289969,"journal":{"name":"16th Asian Test Symposium (ATS 2007)","volume":"41 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2007-10-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133498714","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}