1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287)最新文献

筛选
英文 中文
Communication synthesis for distributed embedded systems 分布式嵌入式系统的通信综合
R. Ortega, G. Borriello
{"title":"Communication synthesis for distributed embedded systems","authors":"R. Ortega, G. Borriello","doi":"10.1145/288548.289067","DOIUrl":"https://doi.org/10.1145/288548.289067","url":null,"abstract":"Designers of distributed embedded systems face many challenges in determining the tradeoffs when defining a system architecture or retargeting an existing design. Communication synthesis, the automatic generation of the necessary software and hardware for system components to exchange data, is required to more effectively explore the design space and automate very error prone tasks. The paper examines the problem of mapping a high level specification to an arbitrary architecture that uses specific, common bus protocols for interprocessor communication. The communication model presented allows for easy retargeting to different bus topologies, protocols, and illustrates that global considerations are required to achieve a correct implementation. An algorithm is presented that partitions multihop communication timing constraints to effectively utilize the bus bandwidth along a message path. The communication synthesis tool is integrated with a system co-simulator to provide performance data for a given mapping.","PeriodicalId":224802,"journal":{"name":"1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287)","volume":"35 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123237971","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 73
Domino logic synthesis using complex static gates 使用复杂静态门的Domino逻辑合成
T. Thorp, G. Yee, C. Sechen
{"title":"Domino logic synthesis using complex static gates","authors":"T. Thorp, G. Yee, C. Sechen","doi":"10.1145/288548.288620","DOIUrl":"https://doi.org/10.1145/288548.288620","url":null,"abstract":"We address the synthesis of the most general form of a domino gate (dynamic-static domino), which consists of the pairing of a dynamic gate with any inverting static gate. All previous work focused on the synthesis of the most basic domino gate (standard domino), where the inverting static gate is an inverter. We developed a methodology and tools for synthesizing random logic blocks using both dynamic and complex inverting static gates in an alternating fashion. Dynamic-static (DS) domino can be used to reduce both gate levels and clock loading compared to standard domino. Comparisons between DS domino, standard domino, and static CMOS logic families are provided for six MCNC combinational logic benchmark circuits. Spice simulations show DS domino to have an average speed improvement of 53% over static CMOS and an average speed improvement of 17% over standard domino. DS domino also reduced clock loading by an average of 48% over standard domino. The paper introduces DS domino and presents a methodology for synthesizing random logic circuits using DS domino and other monotonic logic families such as Zipper CMOS.","PeriodicalId":224802,"journal":{"name":"1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287)","volume":"120 7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126306383","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 29
Fanout optimization under a submicron transistor-level delay model 亚微米晶体管级延迟模型下扇出优化
P. Cocchini, Massoud Pedram, G. Piccinini, M. Zamboni
{"title":"Fanout optimization under a submicron transistor-level delay model","authors":"P. Cocchini, Massoud Pedram, G. Piccinini, M. Zamboni","doi":"10.1145/288548.289085","DOIUrl":"https://doi.org/10.1145/288548.289085","url":null,"abstract":"We present a new fanout optimization algorithm which is particularly suitable for digital circuits designed with submicron CMOS technologies. Restricting the class of fanout trees to the so-called bipolar LT-trees, the topology of the optimal fanout tree is found by means of a dynamic programming algorithm. The buffer selection is in turn performed by using a continuous buffer sizing technique based on a very accurate delay model especially developed for submicron CMOS processes. The fanout trees can distribute a signal with arbitrary polarity from the root of the tree to a set of sinks with arbitrary required time, required minimum signal slope, polarity and capacitive load. These trees can be constructed to maximize the required time at the root or to minimize the total buffer area under a required time constraint at the root. The performance of the algorithm shows several improvements with respect to conventional fanout optimization methods. More precisely, the area and delay improvements are 28% and 7%, respectively, when the algorithm is applied to entire circuits.","PeriodicalId":224802,"journal":{"name":"1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287)","volume":"74 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115961399","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
PowerDrive: a fast, canonical POWER estimator for DRIVing synthEsis PowerDrive:用于驱动合成的快速,规范的功率估计器
S. Roy, H. Arts, P. Banerjee
{"title":"PowerDrive: a fast, canonical POWER estimator for DRIVing synthEsis","authors":"S. Roy, H. Arts, P. Banerjee","doi":"10.1145/288548.289094","DOIUrl":"https://doi.org/10.1145/288548.289094","url":null,"abstract":"The computational complexity of a probability based combinational power metric lies in the creation of a BDD for each node in the circuit. We formalize the problem of finding an intermediate support set which controls the size of BDD. We propose an exact algorithm to solve it. We also propose an heuristic solution, PowerDrive, for estimating the power of large circuits. Apart from being more accurate and several times faster than methods by H. Choi and S.H. Hwang (1997) and B. Kapoor (1994), PowerDrive possesses the unique quality of being canonical and of constant complexity, a very desirable quality for a power metric guiding a synthesis tool. Finally, the proposed power metric was able to guide the synthesis tool (S. Roy et al., 1998) to optimize large circuits which could not be synthesized by POSE (S. Imam and M. Pedram, 1995), thus proving the effectiveness of our power metric.","PeriodicalId":224802,"journal":{"name":"1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287)","volume":"4 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125318276","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Architecture driven circuit partitioning 架构驱动电路划分
Chau-Shen Chen, TingTing Hwang, C. L. Liu
{"title":"Architecture driven circuit partitioning","authors":"Chau-Shen Chen, TingTing Hwang, C. L. Liu","doi":"10.1145/288548.289062","DOIUrl":"https://doi.org/10.1145/288548.289062","url":null,"abstract":"We propose an architecture driven partitioning algorithm for netlists with multi terminal nets. Our target architecture is a multi FPGA emulation system with folded Clos network for board routing. Our goal is to minimize the number of FPGA chips used and maximize the routability. To that end, we introduce a new cost function: the average number of pseudo terminals per net in a multi way cut. Experiment result shows that our algorithm is very effective in terms of the number of chips used and the routability as compared to other methods.","PeriodicalId":224802,"journal":{"name":"1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287)","volume":"13 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126977854","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Asymptotically efficient retiming under setup and hold constraints 在设置和保持约束下的渐近有效重定时
M. Papaefthymiou
{"title":"Asymptotically efficient retiming under setup and hold constraints","authors":"M. Papaefthymiou","doi":"10.1145/288548.289060","DOIUrl":"https://doi.org/10.1145/288548.289060","url":null,"abstract":"We present a polynomial-time algorithm for retiming synchronous circuits with edge-triggered registers under setup and hold constraints. Given a circuit G and a target clock period c, our algorithm computes in O(V/sup 3/ E) steps a retimed circuit that achieves c and is free of hold violations, where V is the circuit's gate count, and E is the number of wires in the circuit. This is the first polynomial-time algorithm ever reported for retiming with constraints on both long and short paths. The asymptotically efficient operation of our algorithm is based on a novel formulation of the timing constraints as an integer monotonic program with O(E/sup 2/) inequalities.","PeriodicalId":224802,"journal":{"name":"1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287)","volume":"20 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132709693","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation 用拉格朗日松弛法快速精确地同时确定门和线的尺寸
C. C. Chen, C. Chu, Martin D. F. Wong
{"title":"Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation","authors":"C. C. Chen, C. Chu, Martin D. F. Wong","doi":"10.1145/288548.289097","DOIUrl":"https://doi.org/10.1145/288548.289097","url":null,"abstract":"The paper considers simultaneous gate and wire sizing for general VLSI circuits under the Elmore delay model (W.C. Elmore, 1948). We present a fast and exact algorithm which can minimize total area subject to maximum delay bound. The algorithm can be easily modified to give exact algorithms for optimizing several other objectives (e.g. minimizing maximum delay or minimizing total area subject to arrival time specifications at all inputs and outputs). No previous algorithm for simultaneous gate and wire sizing can guarantee exact solutions for general circuits. Our algorithm is an iterative one with a guarantee on convergence to global optimal solutions. It is based on Lagrangian relaxation and \"one-gate/wire-at-a-time\" local optimizations, and is extremely economical and fast. For example, we can optimize a circuit with 27648 gates and wires in about 36 minutes using render 23 MB memory on an IBM RS/6000 workstation.","PeriodicalId":224802,"journal":{"name":"1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287)","volume":"18 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132298542","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 270
Full-chip verification of UDSM designs UDSM设计的全芯片验证
R. Saleh, D. Overhauser, S. Taylor
{"title":"Full-chip verification of UDSM designs","authors":"R. Saleh, D. Overhauser, S. Taylor","doi":"10.1145/288548.289070","DOIUrl":"https://doi.org/10.1145/288548.289070","url":null,"abstract":"The article describes the problems encountered in typical ultra-deep submicron (UDSM) designs, and the full-chip interconnect verification methodologies needed to successfully identify these problems before tape-out. We first illustrate that UDSM verification must go well beyond simple geometric and circuit comparison checks to address increasingly important issues such as timing, power integrity, signal integrity, and reliability. The key issues of IR drops in the power grid, electromigration in power and signal lines, clock skew, signal coupling and its effect on timing and noise are described. We present real world examples of such problems and how to find these problems using full chip verification.","PeriodicalId":224802,"journal":{"name":"1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287)","volume":"107 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124303169","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 30
Delay-oriented technology mapping for heterogeneous FPGAs with bounded resources 具有有限资源的异构fpga面向延迟的技术映射
J. Cong, Songjie Xu
{"title":"Delay-oriented technology mapping for heterogeneous FPGAs with bounded resources","authors":"J. Cong, Songjie Xu","doi":"10.1145/288548.288558","DOIUrl":"https://doi.org/10.1145/288548.288558","url":null,"abstract":"In order to maximize performance and device utilization, recent generation of FPGAs take advantage of speed and density benefits resulted from heterogeneous FPGAs, which provide either an array of homogeneous programmable logic blocks (PLBs), each configured to implement circuits with LUTs of different sizes, or an array of physically heterogeneous LUTs. Some heterogeneous FPGAs do not have limitations on the availability of LUTs of specific sizes within chip capacity due to the configuration flexibility of their PLBs, while others, such as Altera FLEX10K devices and Vantis VF1 FPGAs, have limited number of LUTs of certain types (such as embedded memory blocks), which we call heterogeneous FPGAs with bounded resources. LUTs of different sizes usually have different delays. In this paper, we study the technology mapping problem for delay minimization for heterogeneous FPGAs with bounded resources. We show that it is NP-Hard for general networks, but can be solved optimally in pseudo-polynomial time for trees. We then present two heuristic algorithms, named BinaryHM and CN-HM, for delay minimization of general networks for heterogeneous FPGA designs with bounded resources. We have tested BinaryHM and CN-HM on MONO benchmarks on Altera FLEX10K device family, which can be taken as the heterogeneous FPGAs with 4-LUTs and a limited number of 11-LUTs. The experimental results show that compared with FlowMap using only 4-LUTs, both BinaryHM and CN-HM can reduce more than 20% of the circuit mapping delays, 27% of the 4-LUT area and 10% of the circuit layout delays by making efficient use of the available heterogeneous LUTs.","PeriodicalId":224802,"journal":{"name":"1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287)","volume":"29 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129524723","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 21
Finding all simple disjunctive decompositions using irredundant sum-of-products forms 用无冗余乘积和形式找到所有简单析取分解
S. Minato, G. Micheli
{"title":"Finding all simple disjunctive decompositions using irredundant sum-of-products forms","authors":"S. Minato, G. Micheli","doi":"10.1145/288548.288586","DOIUrl":"https://doi.org/10.1145/288548.288586","url":null,"abstract":"Finding disjunctive decompositions is an important technique to realize compact logic networks. Simple disjunctive decomposition is a basic and useful concept, that extracts a single output subblock function whose input variable set is disjunctive from the other part. The paper presents a method for finding simple disjunctive decompositions by generating irredundant sum-of-products forms and applying factorization. We prove that all simple disjunctive decompositions can be extracted in our method, namely all possible decompositions are included in the factored logic networks. Experimental results show that our method can efficiently extract all the simple disjunctive decompositions of the large scale functions. Our result clarifies the relationship between the functional decomposition method and the two-level logic factorization method.","PeriodicalId":224802,"journal":{"name":"1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"1998-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129556334","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 41
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信