Journal of micro/nanopatterning, materials, and metrology最新文献

筛选
英文 中文
In situ electrical property quantification of memory devices by modulated electron microscopy 用调制电子显微镜测定存储器件的原位电学特性
Journal of micro/nanopatterning, materials, and metrology Pub Date : 2023-10-31 DOI: 10.1117/1.jmm.22.4.041605
Muneyuki Fukuda, Kazuhisa Hasumi, Takashi Nobuhara, Hirohiko Kitsuki, Zhigang Wang, Kazuhiro Nojima, Yusaku Suzuki, Akira Hamaguchi, Masashi Kubo, Masaya Hosokawa
{"title":"In situ electrical property quantification of memory devices by modulated electron microscopy","authors":"Muneyuki Fukuda, Kazuhisa Hasumi, Takashi Nobuhara, Hirohiko Kitsuki, Zhigang Wang, Kazuhiro Nojima, Yusaku Suzuki, Akira Hamaguchi, Masashi Kubo, Masaya Hosokawa","doi":"10.1117/1.jmm.22.4.041605","DOIUrl":"https://doi.org/10.1117/1.jmm.22.4.041605","url":null,"abstract":"","PeriodicalId":499761,"journal":{"name":"Journal of micro/nanopatterning, materials, and metrology","volume":"8 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-10-31","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"135869653","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Selection approach of critical patterns for calibrating the physical resist model based on spectrum coverage 基于频谱覆盖标定物理抗蚀模型的关键模式选择方法
Journal of micro/nanopatterning, materials, and metrology Pub Date : 2023-10-26 DOI: 10.1117/1.jmm.22.4.043201
Jiashuo Wang, Lisong Dong, Xiaojing Su, Yajuan Su, Xu Ma, Yayi Wei
{"title":"Selection approach of critical patterns for calibrating the physical resist model based on spectrum coverage","authors":"Jiashuo Wang, Lisong Dong, Xiaojing Su, Yajuan Su, Xu Ma, Yayi Wei","doi":"10.1117/1.jmm.22.4.043201","DOIUrl":"https://doi.org/10.1117/1.jmm.22.4.043201","url":null,"abstract":"","PeriodicalId":499761,"journal":{"name":"Journal of micro/nanopatterning, materials, and metrology","volume":"40 5","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-10-26","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"136376598","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Long Live BACUS! 巴克斯万岁!
Journal of micro/nanopatterning, materials, and metrology Pub Date : 2023-10-20 DOI: 10.1117/1.jmm.22.4.040101
Harry Levinson
{"title":"Long Live BACUS!","authors":"Harry Levinson","doi":"10.1117/1.jmm.22.4.040101","DOIUrl":"https://doi.org/10.1117/1.jmm.22.4.040101","url":null,"abstract":"","PeriodicalId":499761,"journal":{"name":"Journal of micro/nanopatterning, materials, and metrology","volume":"17 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-10-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"135616276","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Applications of large field of view e-beam metrology to contour-based optical proximity correction modeling 大视场电子束测量在基于轮廓的光学接近校正建模中的应用
Journal of micro/nanopatterning, materials, and metrology Pub Date : 2023-10-19 DOI: 10.1117/1.jmm.22.4.041603
Chih-I Wei, Seulki Kang, Sayantan Das, Masahiro Oya, Yosuke Okamoto, Kotaro Maruyama, Germain Fenger, Azat Latypov, Ir Kusnadi, Gurdaman Khaira, Yuichiro Yamazaki, Werner Gillijns, Sandip Halder, Gian Lorusso
{"title":"Applications of large field of view e-beam metrology to contour-based optical proximity correction modeling","authors":"Chih-I Wei, Seulki Kang, Sayantan Das, Masahiro Oya, Yosuke Okamoto, Kotaro Maruyama, Germain Fenger, Azat Latypov, Ir Kusnadi, Gurdaman Khaira, Yuichiro Yamazaki, Werner Gillijns, Sandip Halder, Gian Lorusso","doi":"10.1117/1.jmm.22.4.041603","DOIUrl":"https://doi.org/10.1117/1.jmm.22.4.041603","url":null,"abstract":"BackgroundFor complex two-dimensional (2D) patterns, optical proximity correction (OPC) model calibration flows cannot always satisfy accuracy requirements with the standard cutline-based input data. Utilizing after-development inspection e-beam metrology image contours, better model predictions of 2D shapes and wafer hotspots can be realized.AimWe compare model accuracy performance of conventional cutline-based and contour-based OPC models on the regular and hotspots patterns.ApproachBy utilizing image contours that are directly extracted from large field of view (LFoV) e-beam metrology, OPC models were calibrated and verified with both cutline-based and contour-based modeling flows. We also used a wafer sampling plan that contained bridging hotspots. Using that sampling plan, a hotspot-aware three-dimentional resist (R3D) compact model was created.ResultsFirst, a contour-based OPC model was generated with <1 nm root mean square error of contour sites. Compared with cutline-based models, it shows better predictions on 2D feature corners. Second, when combined with a hotspot sampling plan, a hotspot-aware compact model could be generated. The accuracy of hotspot predictions on false positives and false negatives was reduced to around 1% with this approach.ConclusionsOPC model calibration and verification with LFoV image contours provide improved predictions on corner rounding shapes and great potential to increase design space coverage. We also observed improved accuracy of hotspot predictions when using an update hotspot aware model when comparing with that of the OPC model. Furthermore, the combination of R3D and stochastic compact models also demonstrated great potential on predictions of rare wafer failure events.","PeriodicalId":499761,"journal":{"name":"Journal of micro/nanopatterning, materials, and metrology","volume":"55 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-10-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"135730630","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Efficient metrology for edge placement error and process window characterization using design for inspection methodology 有效的计量边缘放置误差和过程窗口表征使用设计的检查方法
Journal of micro/nanopatterning, materials, and metrology Pub Date : 2023-10-19 DOI: 10.1117/1.jmm.22.4.041602
Andrzej J. Strojwas, Tomasz Brozek, Indranil De
{"title":"Efficient metrology for edge placement error and process window characterization using design for inspection methodology","authors":"Andrzej J. Strojwas, Tomasz Brozek, Indranil De","doi":"10.1117/1.jmm.22.4.041602","DOIUrl":"https://doi.org/10.1117/1.jmm.22.4.041602","url":null,"abstract":"With the multiple patterning schemes and recent introduction of EUV lithography, there is a clear need to fully characterize the edge placement error (EPE) budget in the technology development, and if this information is available in-line, use this information for process control. This methodology has been currently applied to the EUV characterization where the overlay (OVL) and local linewidth roughness (LWR) variations contribute the most to the EPE budget. We describe EPE metrology techniques based on design for inspection™ (DFI) methodology, with non-contact electrical measurements of spatial interactions between integrated circuit (IC) elements created with different patterning and manufacturing steps. Specially designed sub-micron scale test structures are placed within the product die (in place of the filler cells and dummy fill without any area penalty), as well as in the scribe lines, which allows for the EPE monitoring with sub-design rule designs. All DFI structures are tested with a custom (designed and manufactured by PDF) eBeam voltage contrast tool with a very high speed and sub-nm resolution. This methodology has been currently applied to the EUV characterization where the OVL and local LWR variations contribute the most to the EPE budget. In this work, we describe and illustrate the DFI methodology application for the EPE and process window characterization and control.","PeriodicalId":499761,"journal":{"name":"Journal of micro/nanopatterning, materials, and metrology","volume":"80 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-10-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"135731467","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Voltage contrast determination of design rules at the limits of EUV single patterning 在EUV单图案限制下电压对比设计规则的确定
Journal of micro/nanopatterning, materials, and metrology Pub Date : 2023-10-19 DOI: 10.1117/1.jmm.22.4.041604
Victor M. Blanco Carballo, Etienne P. De Poortere, Philippe Leray, Dorin Cerbu, Jeroen van de Kerkhove, Nicola N. Kissoon
{"title":"Voltage contrast determination of design rules at the limits of EUV single patterning","authors":"Victor M. Blanco Carballo, Etienne P. De Poortere, Philippe Leray, Dorin Cerbu, Jeroen van de Kerkhove, Nicola N. Kissoon","doi":"10.1117/1.jmm.22.4.041604","DOIUrl":"https://doi.org/10.1117/1.jmm.22.4.041604","url":null,"abstract":"We have used large-field-of-view voltage contrast metrology to determine the design rules on a pitch 28 nm single-exposure extreme ultra violet dual damascene process, and to study a use case in which two design parameters, metal tip-to-tip critical dimension and via-to-line placement, interact nontrivially in the yield determination. By designing proper test structures, it is possible to determine the different failure mechanisms for the given process integration and determine the patterning cliffs and design rules.","PeriodicalId":499761,"journal":{"name":"Journal of micro/nanopatterning, materials, and metrology","volume":"19 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-10-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"135730388","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Mask absorber, mask tone, and wafer process impact on resist line-edge-roughness 掩模吸收器、掩模色调和晶圆工艺对抗蚀剂线条边缘粗糙度的影响
Journal of micro/nanopatterning, materials, and metrology Pub Date : 2023-10-13 DOI: 10.1117/1.jmm.22.4.044801
Eisuke Ohtomi, Vicky Philipsen, Ulrich Welling, Lawrence S. Melvin, Yosuke Takahata, Yusuke Tanaka, Danilo De Simone
{"title":"Mask absorber, mask tone, and wafer process impact on resist line-edge-roughness","authors":"Eisuke Ohtomi, Vicky Philipsen, Ulrich Welling, Lawrence S. Melvin, Yosuke Takahata, Yusuke Tanaka, Danilo De Simone","doi":"10.1117/1.jmm.22.4.044801","DOIUrl":"https://doi.org/10.1117/1.jmm.22.4.044801","url":null,"abstract":"A high-numerical-aperture (NA) extreme ultraviolet lithography (EUVL) system has been highly desired and is now under construction at imec-ASML High NA Laboratory in Veldhoven. However, there are still many challenges to realizing high-volume manufacturing by High NA EUVL. Line edge roughness (LER) mitigation is one of the key elements. In our previous research, we studied how the normalized image log slope (NILS) and resist film thickness affect resist LER by exposure at NA 0.33 on NXE:3400 and S-Litho EUV. However, the mask absorber, mask tone, exposure dose, and postexposure bake (PEB) temperature were not the subject of previous investigations for decreasing LER. They have the potential to mitigate resist roughness such as illumination and resist thickness studied previously. Unbiased LER values of metal oxide resists (MOR) were experimentally measured on a half-pitch (hp) 14 nm line and space under several mask absorber/tonality, dose, and PEB temperature values. Per the mask absorber/tone, the NILS was varied using different illumination shapes. Low-n masks exhibited a higher NILS for the same illumination shape, which resulted in lower resist LER on the wafer. It was also found that the mask tone can contribute to LER mitigation. According to detailed investigations about the mask roughness, mask error enhancement factor, and flare by critical dimension scanning electron microscope (CD-SEM) measurements and S-Litho EUV, the most likely reason for the LER difference is a flare increase given by mask tone changes. From the dose and PEB temperature variation study, dose was apparently more dominant in resisting roughness than PEB temperature.","PeriodicalId":499761,"journal":{"name":"Journal of micro/nanopatterning, materials, and metrology","volume":"118 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"135853978","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Lithography Should Not Be a Glass Bead Game 平版印刷不应该是玻璃珠游戏
Journal of micro/nanopatterning, materials, and metrology Pub Date : 2023-09-13 DOI: 10.1117/1.jmm.22.3.030101
Harry J. Levinson
{"title":"Lithography Should Not Be a Glass Bead Game","authors":"Harry J. Levinson","doi":"10.1117/1.jmm.22.3.030101","DOIUrl":"https://doi.org/10.1117/1.jmm.22.3.030101","url":null,"abstract":"The <i>Journal of Micro/Nanopatterning, Materials, and Metrology</i> (JM3) publishes peer-reviewed papers on the core enabling technologies that address the patterning needs of the electronics industry.","PeriodicalId":499761,"journal":{"name":"Journal of micro/nanopatterning, materials, and metrology","volume":"33 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-09-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134989659","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Role of counter-anion chemistry, free volume, and reaction byproducts in chemically amplified resists 在化学放大抗蚀剂中,反阴离子化学、自由体积和反应副产物的作用
Journal of micro/nanopatterning, materials, and metrology Pub Date : 2023-08-30 DOI: 10.1117/1.jmm.22.3.034601
Christopher M. Bottoms, Grant E. Bauman, Gila E. Stein, Manolis Doxastakis
{"title":"Role of counter-anion chemistry, free volume, and reaction byproducts in chemically amplified resists","authors":"Christopher M. Bottoms, Grant E. Bauman, Gila E. Stein, Manolis Doxastakis","doi":"10.1117/1.jmm.22.3.034601","DOIUrl":"https://doi.org/10.1117/1.jmm.22.3.034601","url":null,"abstract":"BackgroundA fundamental understanding of the physical processes controlling deprotection in chemical amplified resists (CARs) is critical to improve their utility for high-resolution lithography.Aim/ApproachWe employ a combined experimental and computational approach to examine the impacts of excess free volume generation, reaction byproducts, catalyst clustering, and catalyst counter-anion chemistry/size on deprotection rates in a model terpolymer CAR.ResultsThese studies demonstrate that catalyst diffusion can be enhanced by a combination of excess free volume and reaction byproducts, and that differences in the rotational mobility of the catalyst (controlled by counter-anion chemistry/size) play a key role in local reaction rates.ConclusionsOur results highlight that while many top-down models may capture experimental deprotection kinetics in chemically amplified resists, these models may not capture the underlying physics of the system. This further supports the necessity of incorporating experimental or atomistic data in the development of such models and in their extension to models of lithography.","PeriodicalId":499761,"journal":{"name":"Journal of micro/nanopatterning, materials, and metrology","volume":"105 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-08-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"136037376","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Special Section Guest Editorial: 3D Semiconductor Metrology 特邀嘉宾评论:3D半导体计量
Journal of micro/nanopatterning, materials, and metrology Pub Date : 2023-08-09 DOI: 10.1117/1.jmm.22.3.031201
Ndubuisi George Orji, Qinghuang Lin
{"title":"Special Section Guest Editorial: 3D Semiconductor Metrology","authors":"Ndubuisi George Orji, Qinghuang Lin","doi":"10.1117/1.jmm.22.3.031201","DOIUrl":"https://doi.org/10.1117/1.jmm.22.3.031201","url":null,"abstract":"The <i>Journal of Micro/Nanopatterning, Materials, and Metrology</i> (JM3) publishes peer-reviewed papers on the core enabling technologies that address the patterning needs of the electronics industry.","PeriodicalId":499761,"journal":{"name":"Journal of micro/nanopatterning, materials, and metrology","volume":"94 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-08-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"135697217","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:604180095
Book学术官方微信