Proceedings of the 25th edition on Great Lakes Symposium on VLSI最新文献

筛选
英文 中文
Online and Operand-Aware Detection of Failures Utilizing False Alarm Vectors 基于虚警矢量的在线和操作数感知故障检测
Proceedings of the 25th edition on Great Lakes Symposium on VLSI Pub Date : 2015-05-20 DOI: 10.1145/2742060.2742097
A. Yazdanbakhsh, David J. Palframan, A. Davoodi, N. Kim, Mikko H. Lipasti
{"title":"Online and Operand-Aware Detection of Failures Utilizing False Alarm Vectors","authors":"A. Yazdanbakhsh, David J. Palframan, A. Davoodi, N. Kim, Mikko H. Lipasti","doi":"10.1145/2742060.2742097","DOIUrl":"https://doi.org/10.1145/2742060.2742097","url":null,"abstract":"This work presents a framework which detects online and at operand level of granularity all the vectors which excite a set of diagnosed failures in combinational modules. The failures may be of various types and may change over time. We propose to utilize this ability to detect failures at operand level of granularity to improve yield, by not discarding those chips containing failing and redundant computational units as long as they are not failing at the same time. The main challenge in realization of such a framework is the ability for on-chip storage of all the (test) vectors which excite the set of diagnosed failures. A major contribution of this work is to significantly minimize the number of stored test cubes by inserting only a few but carefully-selected \"false alarm\" vectors. As a result, a computational unit may be mis-diagnosed as failing for a given operand however we show such cases are rare and the chip may continue to be used.","PeriodicalId":255133,"journal":{"name":"Proceedings of the 25th edition on Great Lakes Symposium on VLSI","volume":"42 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-05-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126449124","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Session details: Reliability, Resiliency, Robustness I 会话细节:可靠性,弹性,鲁棒性
Proceedings of the 25th edition on Great Lakes Symposium on VLSI Pub Date : 2015-05-20 DOI: 10.1145/3254006
Jie Han
{"title":"Session details: Reliability, Resiliency, Robustness I","authors":"Jie Han","doi":"10.1145/3254006","DOIUrl":"https://doi.org/10.1145/3254006","url":null,"abstract":"","PeriodicalId":255133,"journal":{"name":"Proceedings of the 25th edition on Great Lakes Symposium on VLSI","volume":"11 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-05-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126060338","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Characterization of SWCNT Bundle Based VLSI Interconnect with Self-heating Induced Scatterings 基于自热诱导散射的SWCNT束VLSI互连特性研究
Proceedings of the 25th edition on Great Lakes Symposium on VLSI Pub Date : 2015-05-20 DOI: 10.1145/2742060.2742074
K. M. Mohsin, A. Srivastava
{"title":"Characterization of SWCNT Bundle Based VLSI Interconnect with Self-heating Induced Scatterings","authors":"K. M. Mohsin, A. Srivastava","doi":"10.1145/2742060.2742074","DOIUrl":"https://doi.org/10.1145/2742060.2742074","url":null,"abstract":"Performance of single walled carbon nanotube (SWCNT) bundle- based VLSI interconnects has been studied under the strong influence of scatterings induced by self-heating. Landauer Büttiker formalism along with Fourier heat transfer equation have been used to compute interconnect scattering parameters at various cross sectional areas of the interconnection. Cross sectional temperature calculation was performed using finite difference method considering temperature dependent thermal conductivity for primitive defect-less SWCNT bundles. Using the relaxation time approximation, we have studied scattering dynamics in calculating equivalent resistance. Electronic and thermal transport equations have been coupled and solved iteratively to get accurate estimation of temperatures and resistances. Study of scattering parameters shows low backscattering however significant transmission loss. Below 100GHz, for a 1µm long interconnect with 10 nm by10 nm cross sectional area shows S21 as high as 80dB. In terahertz regime transmission parameter S21 is in the range of few hundreds dB.","PeriodicalId":255133,"journal":{"name":"Proceedings of the 25th edition on Great Lakes Symposium on VLSI","volume":"184 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-05-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129213797","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Electromigration-aware Clock Tree Synthesis for TSV-based 3D-ICs 基于tsv的3d集成电路的电迁移感知时钟树合成
Proceedings of the 25th edition on Great Lakes Symposium on VLSI Pub Date : 2015-05-20 DOI: 10.1145/2742060.2742068
Tiantao Lu, Ankur Srivastava
{"title":"Electromigration-aware Clock Tree Synthesis for TSV-based 3D-ICs","authors":"Tiantao Lu, Ankur Srivastava","doi":"10.1145/2742060.2742068","DOIUrl":"https://doi.org/10.1145/2742060.2742068","url":null,"abstract":"In 3D-IC technology, electromigration (EM) degradation has become severe due to the high thermal-mechanical stress induced by the Through-Silicon-Vias (TSVs). However, little has been done on designing an EM-robust clock tree for 3D-ICs. In this paper, we propose a systematic EM-aware clock tree synthesis design flow, to enhance the 3D clock tree's EM reliability, with little interference to clock tree's performance metrics such as total wire length and clock skew. We develop a simple TSV's EM objective function based on multi-physics of the mass transportation equation, and validate it against the finite element method (FEM) simulation. Then we use this objective function to formulate a heuristic, based on integer linear programming (ILP), which places the clock TSVs such that the clock tree's EM reliability is maximized. Results show that the our heuristic is able to increase 3D clock's EM lifetime by more than 3.63x with little wire length overhead, while maintaining zero clock skew.","PeriodicalId":255133,"journal":{"name":"Proceedings of the 25th edition on Great Lakes Symposium on VLSI","volume":"132 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-05-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132712314","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
ApproxMA: Approximate Memory Access for Dynamic Precision Scaling 近似内存访问动态精确缩放
Proceedings of the 25th edition on Great Lakes Symposium on VLSI Pub Date : 2015-05-20 DOI: 10.1145/2742060.2743759
Ye Tian, Qian Zhang, Ting Wang, F. Yuan, Q. Xu
{"title":"ApproxMA: Approximate Memory Access for Dynamic Precision Scaling","authors":"Ye Tian, Qian Zhang, Ting Wang, F. Yuan, Q. Xu","doi":"10.1145/2742060.2743759","DOIUrl":"https://doi.org/10.1145/2742060.2743759","url":null,"abstract":"Motivated by the inherent error-resilience of emerging recognition, mining, and synthesis (RMS) applications, approximate computing techniques such as precision scaling has been advocated for achieving energy-efficiency gains at the cost of small accuracy loss. Most existing solutions, however, focus on the approximation of on-chip computations without considering that of off-chip data accesses, whose energy consumption may contribute to a significant portion of the total energy. In this work, we propose a novel approximate memory access technique for dynamic precision scaling, namely ApproxMA. To be specific, by taking both runtime data precision constraints and error-resilient capabilities of the application into consideration, ApproxMA determines the precision of data accesses and loads scaled data from off-chip memory for computation. Experimental results with mixture model-based clustering algorithms demonstrate the efficacy of the proposed methodology.","PeriodicalId":255133,"journal":{"name":"Proceedings of the 25th edition on Great Lakes Symposium on VLSI","volume":"43 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-05-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127819023","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 44
Analyzing the Dark Silicon Phenomenon in a Many-Core Chip Multi-Processor under Deeply-Scaled Process Technologies 深加工技术下多核芯片多处理器中的暗硅现象分析
Proceedings of the 25th edition on Great Lakes Symposium on VLSI Pub Date : 2015-05-20 DOI: 10.1145/2742060.2742096
A. Shafaei, Yanzhi Wang, Srikanth Ramadurgam, Yuankun Xue, P. Bogdan, Massoud Pedram
{"title":"Analyzing the Dark Silicon Phenomenon in a Many-Core Chip Multi-Processor under Deeply-Scaled Process Technologies","authors":"A. Shafaei, Yanzhi Wang, Srikanth Ramadurgam, Yuankun Xue, P. Bogdan, Massoud Pedram","doi":"10.1145/2742060.2742096","DOIUrl":"https://doi.org/10.1145/2742060.2742096","url":null,"abstract":"The impact of dark silicon phenomenon on multicore processors under deeply-scaled FinFET technologies is investigated in this paper. To do this accurately, a cross-layer framework, spanning device, circuit, and architecture levels is initially introduced. Using this framework, leakage and dynamic power consumptions as well as frequency levels of in-order and out-of-order (OoO) processor cores, and on-chip cache memories and routers in a network-on-chip-based chip multiprocessor system synthesized in 7nm FinFET technology and operating in both super- and near-threshold voltage regimes are presented. Subsequently, total power consumptions of multicore chips manufactured with (i) OoO and (ii) in-order processor cores are reported and compared. According to our results, for a 64-core chip and 15W thermal design power budget, 64% and 39% dark silicon are observed in OoO and in-order multicores, respectively, under super-threshold regime. These percentages drop to 19% and 0% for OoO and in-order multicores operating in the near-threshold regime, respectively. Furthermore, the highest energy efficiencies are achieved by operating in the near-threshold regime, which points to the effectiveness of near-threshold computing in mitigating the effect of dark silicon phenomenon under deeply-scaled technologies.","PeriodicalId":255133,"journal":{"name":"Proceedings of the 25th edition on Great Lakes Symposium on VLSI","volume":"30 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-05-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121297480","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
NeuroDSP Accelerator for Face Detection Application NeuroDSP加速器的人脸检测应用
Proceedings of the 25th edition on Great Lakes Symposium on VLSI Pub Date : 2015-05-20 DOI: 10.1145/2742060.2743769
M. Paindavoine, O. Boisard, Alexandre Carbon, Jean-Marc Philippe, O. Brousse
{"title":"NeuroDSP Accelerator for Face Detection Application","authors":"M. Paindavoine, O. Boisard, Alexandre Carbon, Jean-Marc Philippe, O. Brousse","doi":"10.1145/2742060.2743769","DOIUrl":"https://doi.org/10.1145/2742060.2743769","url":null,"abstract":"Neuro-Inspired Vision approach, based on models from biology, allows to reduce the computational complexity. One of these models - The Hmax model - shows that the recognition of an object in the visual cortex mobilizes V1, V2 and V4 areas. From the computational point of view, V1 corresponds to the area of the directional filters (for example Gabor filters or wavelet filters). This information is then processed in the area V2 in order to obtain local maxima. This new information is then sent to an artificial neural network. This neural processing module corresponds to area V4 of the visual cortex and is intended to categorize objects present in the scene. In order to realize autonomous vision systems (low-power consumption) with such treatments inside, we studied a new architeure of a Neural Processor named NeuroDSP. We describe in this paper an optimized Hmax model implementation on this Neural Processor for a face detection application.","PeriodicalId":255133,"journal":{"name":"Proceedings of the 25th edition on Great Lakes Symposium on VLSI","volume":"27 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-05-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125121460","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
TFET-based Operational Transconductance Amplifier Design for CNN Systems 基于tfet的CNN系统运算跨导放大器设计
Proceedings of the 25th edition on Great Lakes Symposium on VLSI Pub Date : 2015-05-20 DOI: 10.1145/2742060.2742089
Qiuwen Lou, Indranil Palit, A. Horváth, X. Hu, M. Niemier, J. Nahas
{"title":"TFET-based Operational Transconductance Amplifier Design for CNN Systems","authors":"Qiuwen Lou, Indranil Palit, A. Horváth, X. Hu, M. Niemier, J. Nahas","doi":"10.1145/2742060.2742089","DOIUrl":"https://doi.org/10.1145/2742060.2742089","url":null,"abstract":"A Cellular Neural Network (CNN) is a powerful processor that can significantly improve the performance of spatio-temporal applications such as pattern recognition, image processing, motion detection, when compared to the more traditional von Neumann architecture. In this paper, we show how tunneling field effect transistors (TFETs) can be utilized to enhance the performance of CNNs. Specifically, power consumption of TFET-based CNNs can be significantly lower when compared to MOSFET-based CNNs due to improved voltage controlled current sources (VCCSs) - an important component in CNN systems. We demonstrate that CNNs can benefit from low power conventional linear VCCSs implemented via TFETs. We also show that TFETs can be useful to realize non-linear VCCSs, which are either not possible or exhibit degraded performance when implemented via CMOS. Such non-linear VCCSs help to improve the performance of certain CNN operations (e.g., global maximum/minimum). We provide two case studies - image contrast enhancement and maximum row selection - that illustrate the benefits of non-linear VCCSs (e.g., reduced computation time, energy dissipation, etc.) when compared to CMOS-based approaches.","PeriodicalId":255133,"journal":{"name":"Proceedings of the 25th edition on Great Lakes Symposium on VLSI","volume":"157 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-05-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131300742","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Multi Replica Bitline Delay Technique for Variation Tolerant Timing of SRAM Sense Amplifiers SRAM感测放大器容差时序的多副本位线延迟技术
Proceedings of the 25th edition on Great Lakes Symposium on VLSI Pub Date : 2015-05-20 DOI: 10.1145/2742060.2742065
Samira Ataei, J. Stine
{"title":"Multi Replica Bitline Delay Technique for Variation Tolerant Timing of SRAM Sense Amplifiers","authors":"Samira Ataei, J. Stine","doi":"10.1145/2742060.2742065","DOIUrl":"https://doi.org/10.1145/2742060.2742065","url":null,"abstract":"Timing variation of sense amplifier enable (SAE) attributable to the random variation of transistor threshold Voltage is reduced by a novel Multi Replica Bitline Delay technique to provide the best tracking with process variations for SRAM applications. Multi replica bitline with a sufficient count of replica cells are utilized in parallel and delay of RBLs is added together to generate timing for sense amplifier (SA). Simulation results in IBM 65nm CMOS technology show that 50% timing variation is reduced at 1.0V supply Voltage.","PeriodicalId":255133,"journal":{"name":"Proceedings of the 25th edition on Great Lakes Symposium on VLSI","volume":"347 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-05-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133154274","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
A Dynamically Reconfigurable RF NoC for Many-Core 一种多核动态可重构射频NoC
Proceedings of the 25th edition on Great Lakes Symposium on VLSI Pub Date : 2015-05-20 DOI: 10.1145/2742060.2742082
Alexandre Briere, J. Denoulet, A. Pinna, B. Granado, F. Pêcheux, Eren Unlu, Y. Louët, C. Moy
{"title":"A Dynamically Reconfigurable RF NoC for Many-Core","authors":"Alexandre Briere, J. Denoulet, A. Pinna, B. Granado, F. Pêcheux, Eren Unlu, Y. Louët, C. Moy","doi":"10.1145/2742060.2742082","DOIUrl":"https://doi.org/10.1145/2742060.2742082","url":null,"abstract":"With the growing number of cores on chips, conventional electrical interconnects reach scalability limits, leading the way for alternatives like Radio Frequency (RF), optical and 3D. Due to the variability of applications, communication needs change over time and across regions of the chip. To address these issues, a dynamically reconfigurable Network on Chip (NoC) is proposed. It uses RF and Orthogonal Frequency Division Multiple Access (OFDMA) to create communication channels whose allocation allows dynamic reconfiguration. We describe the NoC architecture and the distributed mechanism of dynamic allocation. We study the feasibility of the NoC based on state of the art components and analyze its performances. Static analysis shows that, for point to point communications, its latency is comparable with a 256-node electrical mesh and becomes lower for wider networks. A major feature of this architecture is its broadcast capacity. The RF~NoC becomes faster with 32 nodes, achieving a x3 speedup with 1024. Under realistic traffic models, its dynamic reconfigurability provides up to x6 lower latency while ensuring fairness.","PeriodicalId":255133,"journal":{"name":"Proceedings of the 25th edition on Great Lakes Symposium on VLSI","volume":"2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-05-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116129851","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信