{"title":"Double-redundant design methodology to improve radiation hardness in pixel detector readout ICs","authors":"L. Frontini, V. Liberali, S. Shojaii, A. Stabile","doi":"10.1109/ICECS.2015.7440332","DOIUrl":"https://doi.org/10.1109/ICECS.2015.7440332","url":null,"abstract":"This paper proposes a new design method to enhance the radiation hardness of circuits for the next generation of pixel detectors in High Energy Physics experiments. The approach is based on Radiation Hardness By Design methodology to mitigate Single Event Effects. In particle detectors, front-end electronics opeates in an environment characterized by a high dose of radiation. We propose a set of digital cells specifically designed to tolerate a high level of radiation (up to 1 Grad). The cells have been designed in 65 nm CMOS technology. Simulation results show the complete functionality up to 1 Grad of total dose of radiation. The first prototype chip has been designed and submitted for fabrication under the Istituto Nazionale di Fisica Nucleare (INFN) CHIPIX65 project.","PeriodicalId":215448,"journal":{"name":"2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS)","volume":"102 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-12-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133752669","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"An alternative to CMOS stacks based on a floating-gate transistor","authors":"S. Sharroush","doi":"10.1109/ICECS.2015.7440261","DOIUrl":"https://doi.org/10.1109/ICECS.2015.7440261","url":null,"abstract":"Complementary metal-oxide semiconductor (CMOS) circuits with wide fan in certainly suffers from the relatively slow response due to the N-channel (NMOS) or P-channel (PMOS) stack. In this paper, a novel circuit that acts as an alternative to CMOS stacks will be presented. The proposed scheme is based on using a voltage divider that has a variable resistor as one of its two resistors. This variable resistor is nothing but a floating-gate MOS transistor (FGMOS) whose control gates are connected to the inputs. The proposed scheme will be simulated using the 45 nm CMOS technology with a power-supply voltage of 1 V. The proposed scheme shows an average time-delay saving when the number of the inputs exceeds 4 and an energy-delay product saving when the number of the inputs exceeds 7.","PeriodicalId":215448,"journal":{"name":"2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS)","volume":"65 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-12-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132826002","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Amr Hassan, Ramy Ahmed, H. Mostafa, H. Fahmy, A. Hussien
{"title":"Performance evaluation of dynamic partial reconfiguration techniques for software defined radio implementation on FPGA","authors":"Amr Hassan, Ramy Ahmed, H. Mostafa, H. Fahmy, A. Hussien","doi":"10.1109/ICECS.2015.7440279","DOIUrl":"https://doi.org/10.1109/ICECS.2015.7440279","url":null,"abstract":"Reconfigurability of SRAM-based Field Programmable Gate Arrays (FPGAs) is the most powerful feature over ASIC designs. Dynamic Partial Reconfiguration (DPR) emphasizes this feature by adding more flexibility over runtime phase. Xilinx Virtex family of FPGAs provides four techniques to perform DPR; SelectMAP, Serial mode, JTAG, and ICAP. In this paper, each of these techniques is reviewed, evaluated, and tested using Convolutional encoder, an essential block from Software Defined Radio (SDR) system, which becomes the most promising application for DPR. Experiments are carried out using Xilinx Virtex 5 kit \"XUPV5-LX110T\" to measure the trade-offs between performance and area-overhead by adding reconfiguration controller on/off FPGA fabric. It is shown that the performance of each interface is independent of design resource, but proportional only with partial reconfiguration region selection that had been chosen at design place and route phase.","PeriodicalId":215448,"journal":{"name":"2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS)","volume":"107 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-12-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132288498","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
M. Ziad, Y. Alkabani, M. El-Kharashi, K. Salah, Mohamed Abdelsalam
{"title":"Accelerating electromagnetic simulations: A hardware emulation approach","authors":"M. Ziad, Y. Alkabani, M. El-Kharashi, K. Salah, Mohamed Abdelsalam","doi":"10.1109/ICECS.2015.7440386","DOIUrl":"https://doi.org/10.1109/ICECS.2015.7440386","url":null,"abstract":"Electromagnetic (EM) simulation is an important tool for modeling and studying high frequency systems in modern industry. However, the solver part in EM simulations represents a serious bottleneck because its execution time rapidly increases as number of equations-to-solve increases. Although several existing research has proposed parallel hardware solvers, there still exists a room to improve the speed and scalability of these solvers. In this paper, we present a scalable architecture that can efficiently accelerate the solver core of an EM simulator. The architecture is implemented on a physical hardware emulation platform and is compared to the state-of-the-art solvers. Experimental results show that the proposed solver is capable of 522x speed-up over the same pure software implementation on Matlab, 184x speed-up over the best iterative software solver from the ALGLIB C++ library, and 5x speed-up over another emulation-based hardware implementation from the literature, solving 2 million equations.","PeriodicalId":215448,"journal":{"name":"2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS)","volume":"119 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-12-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133857649","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Enhancement of mobile development of brain-computer platforms","authors":"Amr S. Elsawy, S. Eldawlatly, M. Taher, G. Aly","doi":"10.1109/ICECS.2015.7440355","DOIUrl":"https://doi.org/10.1109/ICECS.2015.7440355","url":null,"abstract":"Advances in Brain-Computer Interfaces (BCIs) have made BCIs come in use mainly for the disabled to communicate. Practical usage of BCIs requires that the whole BCI system be portable so that disabled subjects can use them anywhere. The key aspect in mobility is to use mobile devices for processing by developing software applications with low-computational complexity. In this thesis, a low-computational P300 speller application is developed for Android using an Emotiv wireless EEG neuroheadset. Given the limited resources of mobile devices, a novel ensemble classifier approach is proposed that uses Principal Component Analysis (PCA) features to identify evoked P300 signals from EEG recordings. The performance of the method is demonstrated on benchmark data and on our own data. Results demonstrate the capability of the PCA ensemble classifier to classify P300 data recorded using the Emotiv neuroheadset with an average online classification accuracy of 97.22%.","PeriodicalId":215448,"journal":{"name":"2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS)","volume":"24 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-12-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133673513","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Osama Abdelkader, H. Mostafa, H. A. Elhamid, A. Soliman
{"title":"Impact of technology scaling on the minimum energy point for FinFET based flip-flops","authors":"Osama Abdelkader, H. Mostafa, H. A. Elhamid, A. Soliman","doi":"10.1109/ICECS.2015.7440348","DOIUrl":"https://doi.org/10.1109/ICECS.2015.7440348","url":null,"abstract":"Analysis of FinFET based transmission gate (TG), sense amplifier (SA), and semi dynamic (SD) Flip-flops metrics are evaluated with technology scaling from 20nm down to 7nm technology node. The impact of supply voltage variation on delay, power, and energy is reported. The power delay product of Flip-flops is enhanced with technology scaling. The optimum supply voltage value at each technology node from minimum energy perspective is evaluated which is used by the industry to optimize logic and memory circuitry designs. For instance, considering the 7nm TG Flip-flop, the optimum supply voltage from energy saving point of view occurs at 0.65V. The work also characterizes each Flip-flop according to the obtained simulation results. SD Flip-flop has the best performance, however it exhibits high power consumption. TG Flip-flop is the best choice from power dissipation perspective, but it has high clock load. SA Flip-flop has a very useful feature of monotonous transitions at the outputs, which drives fast domino logic, however it might have glitches and it is the most vulnerable to soft errors.","PeriodicalId":215448,"journal":{"name":"2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS)","volume":"44 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-12-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116068727","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"On the use of dynamic partial reconfiguration for multi-band/multi-standard software defined radio","authors":"A. Sadek, H. Mostafa, A. Nassar","doi":"10.1109/ICECS.2015.7440359","DOIUrl":"https://doi.org/10.1109/ICECS.2015.7440359","url":null,"abstract":"Reconfigurable system is an old term aims to combine fixed and variable computing structures. This term extends its power when it is applied with the Software Defined Radio (SDR) in the communications industry, where the physical layer is being adaptable to accommodate Multi-Standard Communication Systems. The FPGA is considered a best platform for the Reconfigurable Computing (RC) and SDR. This proposed work shows the advantages of using FPGA feature, Dynamic Partial Reconfiguration (DPR), in the implementation of SDR System that can switch among different communication standards such as 2G, 3G, LTE, and WIFI. The reconfiguration takes place dynamically on a part of the FPGA while the rest of the FPGA is functioning. The implementation is carried out on Xilinx Virtex 5 evaluation kit XUPV5-LX110T.","PeriodicalId":215448,"journal":{"name":"2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS)","volume":"14 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-12-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123860894","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Hardware/software co-design of a dynamically configurable SHA-3 System-on-Chip (SoC)","authors":"K. E. Ahmed, Mohammed M. Farag","doi":"10.1109/ICECS.2015.7440392","DOIUrl":"https://doi.org/10.1109/ICECS.2015.7440392","url":null,"abstract":"In this paper, we present a novel design of a dynamically configurable hardware accelerator for the new NIST SHA-3 standard, namely the Keccak hashing function. The SHA-3 accelerator is composed of a static datapath built based on two different folded architectures of the Keccak function and controlled by a programmable Finite State Machine (FSM) that can be dynamically configured at run-time to hash a message of arbitrary size and digest length. The proposed hardware architectures enable implementing all functions and modes of operation supported by the Keccak SHA-3 hashing standard. Two prototypes of the accelerator are developed and validated on a Xilinx Virtex-6 FPGA kit as a stand-alone system and on a ZedBoard kit featuring a ZynQ-7000 SoC FPGA, where the SHA-3 accelerator is implemented in the programmable logic and interfaced to an ARM Cortex-A9 processor. Hardware implementation is followed by a hardware/software co-design of a SHA-3 SoC running the keyed-Hash Message Authentication Code (HMAC) and Pseudo-Random Number Generator (PRNG) security applications. The ARM processor runs the application software and offloads SHA-3 computations to the hardware accelerator. The implementation results illustrate the performance enhancement of the SHA-3 SoC over pure software implementations in addition to the unprecedented flexibility offered by the proposed accelerators.","PeriodicalId":215448,"journal":{"name":"2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS)","volume":"39 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-12-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124956928","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"SATD hardware architecture based on 8×8 Hadamard Transform for HEVC encoder","authors":"Bianca Silveira, C. Diniz, M. Fonseca, E. Costa","doi":"10.1109/ICECS.2015.7440382","DOIUrl":"https://doi.org/10.1109/ICECS.2015.7440382","url":null,"abstract":"The most recent video compression standard is the High Efficient Video Coding (HEVC). It was created with the goal of reaching better videos compression compared to the existing ones. One of the most time-consuming modules of HEVC encoder is the Sum of Absolute Transform Differences (SATD), which is used in intra prediction mode decision and in Fractional pixel Motion Estimation (FME) modules. This paper proposes a dedicated architecture for SATD, based on 2-D 8×8 Hadamard Transform, which is divided into 1-D horizontal and 1-D vertical transforms. The architecture was synthesized to ASIC 45 nm technology and to FPGA. The results show that the whole SATD architecture consumes a total cell area of 12231 μm2, dissipates 3765.6 μW of total power and consumes 50.85 pJ of energy per SATD operation.","PeriodicalId":215448,"journal":{"name":"2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS)","volume":"57 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-12-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130401841","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Phase based detection of JPEG counter forensics","authors":"G. Fahmy, A. Alqallaf, R. Würtz","doi":"10.1109/ICECS.2015.7440243","DOIUrl":"https://doi.org/10.1109/ICECS.2015.7440243","url":null,"abstract":"Many recent techniques for forgery detection tried to counter noise dithering, which is considered to be the most successful technique for removing footprints of JPEG editing in countering forensics. In this paper we propose a novel idea of detecting any noise dithering that is typically adopted in removing footprints in counter anti forensics of images. This technique is based on detecting phase variations for DCT coefficients, for decoded JPEG images. We try to measure the level of coherence of phase values for coefficients and detect thresholded variations that would indicate some editing or tampering of images. The proposed technique is robust against noise dithering due to the fact that local homogeneous regions inherit distinctive phase values. These phase values are inconsistent with embedded or dithered noise signals that are considered to be out of phase and can be easily detected in noisy environments. Our proposed technique is compared with literature techniques for performance in noisy applications.","PeriodicalId":215448,"journal":{"name":"2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS)","volume":"43 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2015-12-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127678709","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}