Advanced Lithography最新文献

筛选
英文 中文
Understanding etch properties of advanced chemically amplified EUV resist 了解先进化学放大EUV抗蚀剂的蚀刻性能
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2659178
J. Park, E. Aqad, Yinjie Cen, S. Coley, Li Cui, Conner A. Hoelzel, Benjamin Naab, Choong-Bong Lee, Rochelle Rena, Philjae Kang, Y. Shin, David Limberg, Lei Zhang
{"title":"Understanding etch properties of advanced chemically amplified EUV resist","authors":"J. Park, E. Aqad, Yinjie Cen, S. Coley, Li Cui, Conner A. Hoelzel, Benjamin Naab, Choong-Bong Lee, Rochelle Rena, Philjae Kang, Y. Shin, David Limberg, Lei Zhang","doi":"10.1117/12.2659178","DOIUrl":"https://doi.org/10.1117/12.2659178","url":null,"abstract":"Extreme ultraviolet (EUV) lithography technology empowers integrated circuit industry to mass produce chips with smaller pitches and higher density. Along with EUV tool advancement, significant progress has also been made in the development and advancement of EUV chemically amplified resist (CAR) materials, which allows for the improvement of resolution, line edge roughness, and sensitivity (RLS) trade-off. The scarce number of EUV photons has triggered the development of resist material with high absorption at 13.5 nm. However, a review of open literature reveals very limited reports on the effect of high EUV absorption elements on etch properties of advanced EUV resist. To ensure Moore’s Law continues to move forward, further resist performance improvement is required. In this regard, stochastic defects originating from photon shot noise, materials, and processing variabilities present a unique challenge for the extension of CAR platform for the patterning of smaller nodes. Notably, less attention has been paid to defects formed during the etching process used for pattern transfer. In this paper, we report on the relationship between resist make-up and etch properties. In particular, the effect of incorporation of EUV high absorbing elements are examined. New resist material design strategies for continuous improvement of EUV CAR lithographic performance will be discussed.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133199241","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Middle-of-line plasma dry etch challenges for CFET integration 中线等离子体干蚀刻对CFET集成的挑战
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2659095
D. Radisic, M. Hosseini, H. Mertens, D. Zhou, V. Vega Gonzalez, S. Wang, B. Chan, D. Batuk, E. Dupuy, Z. Tao, E. Dentoni Litta, N. Horiguchi
{"title":"Middle-of-line plasma dry etch challenges for CFET integration","authors":"D. Radisic, M. Hosseini, H. Mertens, D. Zhou, V. Vega Gonzalez, S. Wang, B. Chan, D. Batuk, E. Dupuy, Z. Tao, E. Dentoni Litta, N. Horiguchi","doi":"10.1117/12.2659095","DOIUrl":"https://doi.org/10.1117/12.2659095","url":null,"abstract":"In this paper, middle-of-line (MOL) plasma etch development results for the monolithic CFET integration with nanosheet devices using scaling-relevant test vehicle (CPP48nm) are presented. Several critical MOL patterning steps are addressed, with the focus on the patterning of the trenches (M0) for contacting to the bottom and top devices. The patterning of M0A consists of SiO2 dielectric and thin SiN liner etch landing on epitaxial source drain (S/D). The critical M0 etch requirement is preserving the SiN gate spacer to avoid shorting between S/D and gate. Due to no-gate plug implementation in the process flow, the etch development must rely on very challenging, patterning the small critical dimension (CD) contacts to create enough dielectric barrier between the metal contact and the gate, and preferably, also very challenging, self-alignment to the thin gate spacer. The dependance of the M0 CD and the etch depth is accessed by using the range of the EUV lithography conditions and evaluating the maximum etch depth of the trench as a function of the printed CD. The minimum trench CD achieved on the bottom of the trench is ~ 13nm, and the minimum top CD in the range of ~ 16nm, with the evident etch non-uniformity observed in the etch depth. The trend of larger contact CD resulting in the deeper etch and process uniformity improvement is observed. Etch depth larger than 100nm is achieved when top M0 CD is >20nm. The option with the SiN liner deposition followed by SiN liner etch (spacer formation) post- M0 SiO2 is developed. This patterning sequence consists of SiO2 etch stopping on the thin SiN (over S/D) followed by additional SiN deposition and finally etching of the deposited SiN liner as well as SiN liner covering S/D. The option with SiN spacer formation minimizes the risk of short to the gate, due to extra SiN dielectric film protecting the gate. In addition, we present the results for another critical MOL patterning step, i.e., HAR metal recess post M0 metallization (AR~11)","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131510802","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Dissociative photoionization of EUV lithography photoresist models EUV光刻光刻胶模型的解离光电离
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657702
M. Gentile, M. Gerlach, R. Richter, M. V. van Setten, J. Petersen, P. van der Heide, F. Holzmeier
{"title":"Dissociative photoionization of EUV lithography photoresist models","authors":"M. Gentile, M. Gerlach, R. Richter, M. V. van Setten, J. Petersen, P. van der Heide, F. Holzmeier","doi":"10.1117/12.2657702","DOIUrl":"https://doi.org/10.1117/12.2657702","url":null,"abstract":"The dissociative photoionization of tert-butyl methyl methacrylate, a monomer unit found in many ESCAP resists, was investigated in a gas phase photoelectron photoion coincidence experiment employing extreme ultraviolet (EUV) synchrotron radiation at 13.5 nm. It was found that the interaction of EUV photons with the molecules leads almost exclusively to dissociation. However, the ionization can also directly deprotect the ester function, thus inducing the solubility switch wanted in a resist film. These results serve as a building block to reconstruct the full picture of the mechanism in widely used chemically amplified resist thin films, provide a knob to tailor more performant resist materials, and will aid interpreting advanced ultrafast time-resolved experiments.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123673684","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Metallic contamination reduction in polymer solution using membrane purification technology 膜净化技术减少聚合物溶液中的金属污染
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657698
P. Muralidhar, A. Ramirez, A. Wu, Lawrence Chen, Yamin Liu, Luxi Shen, Robert F. Blacksmith, Sabrina Wong, Matthew Melanson, A. Rudenko
{"title":"Metallic contamination reduction in polymer solution using membrane purification technology","authors":"P. Muralidhar, A. Ramirez, A. Wu, Lawrence Chen, Yamin Liu, Luxi Shen, Robert F. Blacksmith, Sabrina Wong, Matthew Melanson, A. Rudenko","doi":"10.1117/12.2657698","DOIUrl":"https://doi.org/10.1117/12.2657698","url":null,"abstract":"As the patterning resolution of semiconductor manufacturing increases, so does the need to remove critical defects from the photochemical supply chain. In particular, metallic contaminants have been known to lead to various types of defects such as cone defects that contribute to significant yield loss 1. Hence, control of metallic contaminants is critical for these next generation lithography processes. Previous work has introduced the Purasol™ LS2 solvent purifier, capable of superior metal reduction in a wide range of photochemical solvents 2. Current work focuses on purification of polymer solutions, a key intermediate material in the photochemical ecosystem. We present data detailing the ability of the LS2 purifier to remove metals from a poly-(4-hydroxystyrene) (PHS) polymer solution. Purifier membrane in coupons as well as an LS2 purifier device are used in a series of experiments to investigate the metal removal efficiency in the polymer solution as a function of various experimental parameters. Metal removal is quantified using ICP-MS (inductively coupled plasma mass spectroscopy) measurements. In addition, we also present customer data demonstrating the effectiveness of the LS2 in purifying polymer solutions to reduce defects that degrade yield performance.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115750975","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Thin underlayer materials for metal oxide resist patterning 金属氧化物抗图案化的薄底层材料
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657918
Satoshi Dei, Yuya Hayashi, S. Akita, Shuhei Yamada, K. Sakai, Tatsuya Kasai, Akitaka Nii, Ayaka Furusawa, K. Takada, T. Kaneko, Tomoaki Seko, Eiji Yoneda, Tatsuya Sakai
{"title":"Thin underlayer materials for metal oxide resist patterning","authors":"Satoshi Dei, Yuya Hayashi, S. Akita, Shuhei Yamada, K. Sakai, Tatsuya Kasai, Akitaka Nii, Ayaka Furusawa, K. Takada, T. Kaneko, Tomoaki Seko, Eiji Yoneda, Tatsuya Sakai","doi":"10.1117/12.2657918","DOIUrl":"https://doi.org/10.1117/12.2657918","url":null,"abstract":"We introduce thin underlayer (UL) materials (<10 nm) for metal oxide resist (MOR) that can support the lithography performance requirements as well as compatible with conventional etching tool and etching process. Thin UL materials for MOR patterning applications required to have chemical moieties with specific functions and excellent physical properties to meet both lithography and etching performance requirements. We investigated the relationship between surface properties of thin UL materials and its effects on MOR sensitivity, pattern collapse, and defects. We also discussed plausible mechanism based on our experimental results. In addition, we have also confirmed the impact of high EUV absorption unit effect in UL materials on MOR sensitivity.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116312907","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Selective isotropic atomic-layer etching of thin films by using dry chemical removal tool 用干法化学去除工具对薄膜进行选择性各向同性原子层蚀刻
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2664547
Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, M. Izawa, K. Ishikawa, M. Hori
{"title":"Selective isotropic atomic-layer etching of thin films by using dry chemical removal tool","authors":"Kazunori Shinoda, Nobuya Miyoshi, Hiroyuki Kobayashi, M. Izawa, K. Ishikawa, M. Hori","doi":"10.1117/12.2664547","DOIUrl":"https://doi.org/10.1117/12.2664547","url":null,"abstract":"There has been considerable interest in the development of isotropic atomic layer etching (ALE) for the conformal removal of thin films. Material selectivity is crucial for the development of isotropic ALE because the next generation of semiconductor devices will be constructed with miniaturized 3D structures using a variety of very thin films. We developed plasma-assisted thermal-cyclic ALE, which is a repetition of surface modification by plasma exposure and removal of the modified surface by infrared heating. We developed a 300-mm tool, namely, dry chemical removal (DCR), which is equipped with an inductively coupled plasma (ICP) source and infrared lamps, to facilitate rapid thermal desorption of the modified surface. An important feature of the plasma-assisted thermal-cyclic ALE is that it has more tuning knobs than that of conventional ALE because it uses two temperatures: a low temperature for surface modification and an elevated temperature for the removal of the modified surface. This paper presents the selective ALE of various materials, i.e., Si3N4, TiN, W, and SiGe using the developed tool. The mechanisms of the selectivity are divided into two categories: formation of an ammonium salt-based modified layer and selectivity control by adjusting the infrared heating time. This paper reviews the selective ALE mechanisms, focusing on the results of in situ analysis of surface reactions, and presents some of the latest findings.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114476547","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Recent advances in EUV patterning in preparation towards high-NA EUV 高na极紫外制备技术的最新进展
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657432
S. Nagahara, Arnaud Dauendorffer, A. Thiam, Xiang Liu, Yuhei Kuwahara, C. Dinh, Soichiro Okada, S. Kawakami, H. Genjima, Noriaki Nagamine, M. Muramatsu, S. Shimura, A. Tsuboi, K. Nafus, Y. Feurprier, M. Demand, R. Ramaneti, P. Foubert, D. De Simone, Geert Vendenberghe
{"title":"Recent advances in EUV patterning in preparation towards high-NA EUV","authors":"S. Nagahara, Arnaud Dauendorffer, A. Thiam, Xiang Liu, Yuhei Kuwahara, C. Dinh, Soichiro Okada, S. Kawakami, H. Genjima, Noriaki Nagamine, M. Muramatsu, S. Shimura, A. Tsuboi, K. Nafus, Y. Feurprier, M. Demand, R. Ramaneti, P. Foubert, D. De Simone, Geert Vendenberghe","doi":"10.1117/12.2657432","DOIUrl":"https://doi.org/10.1117/12.2657432","url":null,"abstract":"High-NA EUV lithography is currently under development to keep up with device node scaling with smaller feature sizes. In this paper, the most recent advances in EUV patterning using metal oxide resists (MOR) and chemically amplified resists (CAR) are discussed. A newly developed resist development method (ESPERT™) was examined on MOR with 24 nm pitch line and space (L/S) patterns and 32 nm pitch pillars for preparation of high-NA EUV patterning. The patterning results showed improved sensitivity and pattern collapse margin. CAR contact hole patterning at 28 nm pitch was also examined by stochastic lithography simulation. The simulation results indicate that resist film thickness needs to be optimized for target pitches.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129361841","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Fundamentals of EUV stack for improving patterning performance 提高图案化性能的EUV堆栈基础
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657056
Nanoka Miyahara, Soichiro Okada, Hiroyuki Fujii, S. Shimura
{"title":"Fundamentals of EUV stack for improving patterning performance","authors":"Nanoka Miyahara, Soichiro Okada, Hiroyuki Fujii, S. Shimura","doi":"10.1117/12.2657056","DOIUrl":"https://doi.org/10.1117/12.2657056","url":null,"abstract":"Extreme ultraviolet (EUV) lithography has already utilized for high volume manufacturing, and miniaturization by numerical aperture (NA) 0.33 is approaching to the limit. Pitch 24 nm line and space (L/S) resist patterns can be resolved with single exposure at even NA 0.33. However, etch transfer performance to underlayer materials is one of the issues. Especially, in narrow pitch case, it is very difficult to etch due to the lack of resist mask resistance. Therefore, resist pattern thickening process with optimized development process and underlayer state was studied and verified the pattern height impact at our past paper. As a result, it found that combination of the underlayer (UL) kinds and their status was one of the key points to lead high-quality patterns. In this paper, optimized stack structure narrow pitch pattern and lithography performance. As a result, in experiments toward High NA EUV, 24 nm pitch L/S pattern could be patterned (near smallest size by NA 0.33) by selecting the optimal ML/UL combination, and some defect free process windows were kept between defect cliffs.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127110786","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Computational study of 3-dimensional photo lithography on limitations and possibility for novel structures 三维光刻技术的局限性和新结构的可能性的计算研究
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2658128
Y. Hirai, Tomoaki Osumi, Toshiaki Tanaka, M. Yasuda, M. Sasago
{"title":"Computational study of 3-dimensional photo lithography on limitations and possibility for novel structures","authors":"Y. Hirai, Tomoaki Osumi, Toshiaki Tanaka, M. Yasuda, M. Sasago","doi":"10.1117/12.2658128","DOIUrl":"https://doi.org/10.1117/12.2658128","url":null,"abstract":"3D photolithography has been proposed using a built-in lens mask (BILM), which can form an optical image at an arbitrary focal point without using a lens by reproducing the wavefront formed in space by the complex transmittance of a glass mask, a three-dimensional structure can be formed using the multiple focus function. In this method, the 3D structure is decomposed into multiple seed patterns, and the seed images are exposed as a batch. However, the mutual interference of diffracted light to form the seed patterns causes turbulence in the image formation state, necessitating an optimized design of the mask. In this study, we conducted basic verification experiments for 3D imaging, verified the multiple focus function, reviewed the seed design including automatic optimization of the seed placement in order to first realize 3D image formation and then complex 3D image formation and verify the possibility for typical 3-D structures.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127215511","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Establishment of new process technology for EUV lithography EUV光刻新工艺技术的建立
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657076
Yuhei Kuwahara, S. Kawakami, Kanzo Kato, Soichiro Okada, Y. Kamei, T. Onitsuka, T. Yamauchi, Nanoka Miyahara, C. Dinh, L. Huli, S. Shimura
{"title":"Establishment of new process technology for EUV lithography","authors":"Yuhei Kuwahara, S. Kawakami, Kanzo Kato, Soichiro Okada, Y. Kamei, T. Onitsuka, T. Yamauchi, Nanoka Miyahara, C. Dinh, L. Huli, S. Shimura","doi":"10.1117/12.2657076","DOIUrl":"https://doi.org/10.1117/12.2657076","url":null,"abstract":"Resolution, line edge roughness (LER) and sensitivity (RLS) and defectivity are the well-known critical issues of extreme ultraviolet (EUV) lithography. To break the RLS triangle, metal oxide resist (MOR) is a promising candidate. However, further improvement of MOR process is required for high volume manufacturing to maintain low defectivity. In this paper, conventional and new processes for MOR pitch 32 nm line and space (L/S) and 36 nm pillar patterns was investigated. This new process was able to perform good sensitivity without degrading roughness. In addition, further optimization for underlayer and developer process could mitigate pattern collapses. MOR treatment was evaluated as another technique for roughness improvement. At last, bottom scum defect would be reduced by new process.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122257823","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信