Advanced Lithography最新文献

筛选
英文 中文
Advancing high resolution photolithography with hybrid polymers for wafer-scale manufacture of micro-optics and patterned passivation layers 利用杂化聚合物推进高分辨率光刻技术,用于微光学和图案钝化层的晶圆级制造
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2658408
M. Koch, M. Russew, Ludwig Scharfenberg, A. Benker, A. Schleunitz, G. Grützner
{"title":"Advancing high resolution photolithography with hybrid polymers for wafer-scale manufacture of micro-optics and patterned passivation layers","authors":"M. Koch, M. Russew, Ludwig Scharfenberg, A. Benker, A. Schleunitz, G. Grützner","doi":"10.1117/12.2658408","DOIUrl":"https://doi.org/10.1117/12.2658408","url":null,"abstract":"Hybrid Polymers are a material class established in the industry for manufacturing of high-performance optical components, mainly patterned by (nano)imprint processes. Recently, the application range of Hybrid Polymers has been extended into bonding and passivation. In this context, patterning by classical UV-lithography has come into focus as an alternative patterning method to (nano)imprinting. By applying a two-stage curing process with a high intensity, low dose patterning step and a high dose flood exposure after development, it is possible to realize previously unattainable resolutions limits for Hybrid Polymers of 6μm L/S and aspect ratios of more than 3.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"12497 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129604635","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Chemical information extraction from scanning electron microscopy images on the basis of image recognition 基于图像识别的扫描电镜图像化学信息提取
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2666992
Yuqing Jin, T. Kozawa, Kota Aoki, Tomoya Nakamura, Yasushi Makihara, Yasushi Yagi
{"title":"Chemical information extraction from scanning electron microscopy images on the basis of image recognition","authors":"Yuqing Jin, T. Kozawa, Kota Aoki, Tomoya Nakamura, Yasushi Makihara, Yasushi Yagi","doi":"10.1117/12.2666992","DOIUrl":"https://doi.org/10.1117/12.2666992","url":null,"abstract":"Traditional resist materials have faced challenges as the extreme ultraviolet (EUV) light source with a wavelength of 13.5 nm brought the evolution of lithography to the semiconductor industry. A significant issue in the development of resist materials or the discovery of new type resists is that numerous parameters involved in the resist pattern printing process cause the generation of defects. Meanwhile, the inherent chemical variation in resist materials and processes causes the stochastic defects. In addition, the stochastic defects caused by the inherent chemical variation in resist materials and processes become increasingly significant as feature scales continue to shrink. Consequently, the number of pattern data with failures is much greater than those without defects. However, by utilizing the information contained in pattern failures, chemical parameters can be adjusted to improve resist resolution. In this study, a new method is proposed for evaluating resist patterns with defects by fitting the experimental scanning electronic microscopy (SEM) images of line-and-space patterns with defects to simulated images.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"12498 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129496632","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Ultra-thick positive photoresist layers for maskless grayscale lithography 用于无掩模灰度光刻的超厚正光刻胶层
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2658355
Dominique Collé, G. Ekindorf
{"title":"Ultra-thick positive photoresist layers for maskless grayscale lithography","authors":"Dominique Collé, G. Ekindorf","doi":"10.1117/12.2658355","DOIUrl":"https://doi.org/10.1117/12.2658355","url":null,"abstract":"Maskless grayscale lithography is a key technology to create structured surfaces in photoresist, especially for micro-optic applications. It uses spatially modulated light intensity to expose a layer of low-contrast positive resist. A digital design that contains gray values or height information, corresponding to a certain target depth in the photoresist, can conveniently be exposed with a DWL 66+ from Heidelberg Instruments. Processes are well known for thicknesses up to 60 μm. The possibility to fabricate taller structures, are of great interest in the micro-fabrication world. Two experimental and one commercial resists have been compared to reach and exceed the 100 μm symbolic height. After a validating experiment in a single coated layer of an experimental DNQ-based photoresist, we doubled and tripled the coating cycles at relatively low velocity and quickly obtained promising results. The triple-coated film while enabling the possibility to fabricate structures 100 μm high showed some sort of delamination in the deepest region of the layer, close to the substrate’s surface. The delamination indicates the formation of N2 bubbles, a disadvantage of DNQ-based photoresists that release nitrogen when exposed to light. Experiments with a commercially available resist seems to show similar behavior for thicknesses above 80 μm. Recent experiments using a second experimental resist, from a different supplier, showed some promising results: structures slightly higher than 100 μm without visible defect caused by nitrogen have been fabricated. Another advantage is that overall dose required to reach this depth was significantly lower than in the previous test.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"60 29","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120929399","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Profile control in conductor metal wet etch with advanced photoresists 先进光刻胶在导体金属湿式蚀刻中的轮廓控制
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657687
Ashley Moore, Julia Modl, Zhong Li, Hung-Yang Chen, Chunwei Chen, A. Behrendt, Katharina Schmoelzer
{"title":"Profile control in conductor metal wet etch with advanced photoresists","authors":"Ashley Moore, Julia Modl, Zhong Li, Hung-Yang Chen, Chunwei Chen, A. Behrendt, Katharina Schmoelzer","doi":"10.1117/12.2657687","DOIUrl":"https://doi.org/10.1117/12.2657687","url":null,"abstract":"Despite their long history in the electronics industry, copper metal layers remain important components as interconnection layers in IC fabrication due to their higher thermal and electrical conductivity as well as their higher electromigration resistance. Structuring the copper metal layer via wet chemical etching places demands on the photoresist mask, requiring resistance to harsh etch chemistry and good adhesion to the substrate to prevent delamination and defects. The photoresist formulation AZ® TD-2010 is a positive-tone, DNQ-based i-line photoresist that incorporates an additional surface-grafting component to deliver improved etch performance via enhanced photoresist adhesion on metal substrates. The in-situ priming of the photoresist formulation during the patterning process leads to a greater interfacial adhesion, resulting in steep sidewalls, with a greater than 20° increase in etch angle over formulations without adhesion promoter, while maintaining undercut depth and Cu CD. The AZ®TD-2010 photoresist can also be used at high thickness to cover topography steps formed from underlying layers, while also exhibiting high enough photospeed to maintain production throughput standards for IC manufacturing.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"60 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115073558","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
High-productivity direct-write e-beam lithography: an enabling patterning technology to augment your lithography toolbox 高生产率直写电子束光刻:一种使能的图形技术,以增加您的光刻工具箱
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2658237
K. Macwilliams, A. Ceballos, Ted Prescop, D. K. Lam
{"title":"High-productivity direct-write e-beam lithography: an enabling patterning technology to augment your lithography toolbox","authors":"K. Macwilliams, A. Ceballos, Ted Prescop, D. K. Lam","doi":"10.1117/12.2658237","DOIUrl":"https://doi.org/10.1117/12.2658237","url":null,"abstract":"Multibeam Corporation has overcome the productivity limitations of conventional single-electron-beam lithography to enable high-speed, flexible, and high-resolution patterning in a range of new and existing applications. The high-productivity Multicolumn Electron Beam Lithography (MEBL) system combines a modular architecture with unique miniature e-beam columns to achieve productivity that is taking e-beam lithography from lab to fab. MEBL exhibits 10 to 100x productivity in most applications compared to conventional e-beam lithography. Beyond its superior resolution, MEBL also offers several important advantages over conventional photolithography: (1) Depth of Focus – over 100x larger than state-of-the-art optical systems. (2) Full-wafer Writing Field – the ability to write unique, seamless patterns over an entire wafer, not limited by conventional optical reticle size (typically 26 mm x 33 mm). (3) Perhaps most powerfully – direct writing is maskless. E-beam lithography is adaptable and is not constrained by the time, cost, defectivity, and security risks of the optical mask production process. The design and manufacturing capabilities that emerge from combining high-productivity with these unique e-beam lithography capabilities enable many key applications: super-large interposers for microelectronics advanced packaging (that can achieve size, weight, power, and performance close to that of wafer-scale integration); seamless patterning of readout integrated circuits (ROICs) and other larger-area devices; built-in Secure Chip IDs that ensure security and chip-level traceability; and high-mix, low-volume production (to leverage high-volume IC technology for low-volume applications). The adaptable, direct-write nature of e-beam lithography also enables early concept prototyping that accelerates technology development, production ramp-up, and system deployments. These advanced capabilities are shown using Multibeam’s high-productivity, direct-write MEBL system.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"35 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126814055","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A novel formulated developer for negative-tone imaging with EUV exposure to improve chemical stochastic 一种新型配方显影剂,用于EUV曝光的负色调成像,以改善化学随机性
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657421
Keiyu Ou, Naohiro Tango, Nishiki Fujimaki, Kazuhiro Marumo, Nobuhiro Hiura, Satomi Takahashi, Toru Fujimori
{"title":"A novel formulated developer for negative-tone imaging with EUV exposure to improve chemical stochastic","authors":"Keiyu Ou, Naohiro Tango, Nishiki Fujimaki, Kazuhiro Marumo, Nobuhiro Hiura, Satomi Takahashi, Toru Fujimori","doi":"10.1117/12.2657421","DOIUrl":"https://doi.org/10.1117/12.2657421","url":null,"abstract":"In 2019, finally, extreme ultraviolet (EUV) lithography has been applied to high volume manufacturing (HVM). However, the performance of EUV resist materials are still not enough for the expected HVM requirements, even by using the latest qualifying EUV resist materials. The critical issues are the stochastic issues, which will become ‘defectivity’. The analyzing summary of the stochastic factors in EUV lithography was reported, which described 2 (two) major stochastic issues, which are ‘Photon stochastic’ and ‘Chemical stochastic’. In the past, speaking of the stochastic issue was basically considered from low photon number from EUV light source, which means ‘photon shot noise’. It was still critical concerning point, even with recent progress on source power improvement. However, the stochastic issue is not only from them but also from EUV materials and processes, called ‘Chemical stochastic’. The ‘Chemical stochastic’ means caused from resist materials and processes for lithography, materials uniformity in the film, reactive uniformity in the film, and dissolving behavior with the developer. In this paper, we will focus on ‘Chemical stochastic’ improvement, especially, the dissolving behavior by using negative-tone imaging (NTI, using organic solvent-based developer) with EUV exposure (EUV-NTI). EUV-NTI had a potential for improving ‘Chemical stochastic’ because of their properties, which were low swelling and smooth dissolving behavior. However, the pattern collapse was easily observed for preparing fine patterns with the standard developer. Newly proposed novel formulated organic solvent-based developer will be expected to improve the patter collapse issue and ‘Chemical stochastic’. Lithographic performance will also be reported.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"114 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123343449","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Realization of high-Q Lamb wave resonator with smooth vertical etching profile for thin film lithium niobate 铌酸锂薄膜光滑垂直刻蚀轮廓的高q Lamb波谐振器的实现
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2665860
A. Aryal, Ravi Kiran Chityala, I. Stricklin, Sidhant Tiwari, A. Siddiqui, T. Busani
{"title":"Realization of high-Q Lamb wave resonator with smooth vertical etching profile for thin film lithium niobate","authors":"A. Aryal, Ravi Kiran Chityala, I. Stricklin, Sidhant Tiwari, A. Siddiqui, T. Busani","doi":"10.1117/12.2665860","DOIUrl":"https://doi.org/10.1117/12.2665860","url":null,"abstract":"In this work, Lamb Wave Resonators (LWRs) based on 2 μm thin Y-cut LiNbO3 films have been fabricated using integrated fabrication process that defines IDTs (Inter Digital Transducers) on top surface and a partial Si cavity for a sacrificial layer on the bottom surface. We discuss the etch quality and its effects on the device's performance. For the first time, we present an optimized high-quality etched MEMS (Micro-electromechanical Systems) Resonator with smooth and vertical sidewalls on this material system, reporting the maximum Q-factor of 2500 at 846 MHz frequency. We observed that the resonator system has a Q-factor of 480 over the same frequency range when the etched surface has significant roughness and non-verticality. Q values of the device are greatly diminished by the presence of surface roughness and non-verticality of the etched edges. This truly highlights how important it is to have a high-quality etch profile for a piezoelectric material system like this so that the designed resonators can perform at their best.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"12497 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129599700","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Enabling process technologies for advanced logic devices beyond FinFET era 为超越FinFET时代的先进逻辑器件提供工艺技术
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2660290
Tomonari Yamamoto
{"title":"Enabling process technologies for advanced logic devices beyond FinFET era","authors":"Tomonari Yamamoto","doi":"10.1117/12.2660290","DOIUrl":"https://doi.org/10.1117/12.2660290","url":null,"abstract":"This invited talk describes the enabling process technologies for advanced logic devices beyond FinFET era. Gate-all-around (GAA) improves electrostatics over FinFET and enables continuous gate length scaling. Complementary FET (CFET), which is a structure of stacked transistors, is a next candidate architecture for the continuous cell height scaling enablement. Interconnect pitch scaling will also play crucial role for it and go with RC reduction knobs such as Cu damascene extension, post Cu and airgap. For better area usage and performance enhancement, backside power delivery network (PDN) is an attractive option. For these enablement, continuous process and tool advancement is necessary not only on film, etch, lithography and wet, but also on wafer bonding and thinning technologies. We will also review our recent progress in EUV related solutions including self-aligned patterning.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"103 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128707276","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Overlay performances of wafer scale nanoimprint lithography 晶片级纳米压印光刻的覆盖性能
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2655105
J. Reche, Api Warsono, Anaïs De Lehelle D'Affroux, Jonas Khan, S. Haumann, A. Kneidinger
{"title":"Overlay performances of wafer scale nanoimprint lithography","authors":"J. Reche, Api Warsono, Anaïs De Lehelle D'Affroux, Jonas Khan, S. Haumann, A. Kneidinger","doi":"10.1117/12.2655105","DOIUrl":"https://doi.org/10.1117/12.2655105","url":null,"abstract":"Since its beginning in the 90’s NanoImprint Lithography (NIL) has been continuously improved to target the different industry requirements. Using an intermediate soft stamp media was one of the main improvements and has now become a standard technology. Based on that technology, EVG introduces a full wafer imprinting solution, whereas the size of the stamp corresponds to the size of the wafer to imprint. Results obtained at CEA-Leti using this solution, with respect to uniformity, sub-50nm resolution, repeatability, and high aspect ratio patterns, are today state of the art and allow NIL to be considered as an HVM technology. Nevertheless, further development is carried out on different aspects such as overlay (OVL) which is the scope of this work. Different contributors of OVL as translation, rotation but also distortion are dissociated and analyzed. Alignment repeatability is studied. Additionally, imprint to imprint OVL correction terms are applied. A dedicated methodology has been established and allows to obtain global OVL signature. According to the above, main process contributors are highlighted and studied in the paper to separate influence of each of them. Finally, different ways to improve overlay are discussed and some of them - which could be linked to hardware, process or both - are evaluated. Overall, the OVL status obtained and first improvements bring NIL technology closer to the alignment requirements of the industry.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131971564","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Photoresists with precisely controlled molecular weight, composition, and sequence 光刻胶具有精确控制的分子量、组成和顺序
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2658582
F. Käfer, Z. MEng, R. Segalman, Javier Read de Alaniz, C. Ober
{"title":"Photoresists with precisely controlled molecular weight, composition, and sequence","authors":"F. Käfer, Z. MEng, R. Segalman, Javier Read de Alaniz, C. Ober","doi":"10.1117/12.2658582","DOIUrl":"https://doi.org/10.1117/12.2658582","url":null,"abstract":"One of the major challenges to lithography today is minimizing the consequences of stochastics, that is, the effect of statistical differences in photoresist structure and the distribution of additives, such as photo active compounds, in the photoresist. Most polymer photoresists due to their method of production will have large differences between polymer chains in molecular weight, composition, and sequence due to the nature of polymer synthesis. However, there exist methods of polymer formation that make uniform composition polymers such a homopolymers made using living polymerization. We discuss scissionable poly(phthalaldehyde)s as one example of a low stochastics photoresist. Using another method originally developed for the biological community we make polymers in which molecular weight, composition and sequence are identical in all polymer chains produced. Here we thus describe studies of polypeptoids, synthetic analogs of peptides, which have no chirality and in which the substituents are placed on the backbone nitrogen. The peptoids are produced as chemically amplified photoresists and are intended for study as EUV materials. To produce a CAR with aqueous base development using this hydrophilic backbone we have successfully learned how to make a more hydrophobic patterning system with Tg >100 °C. With our ability to control of sequence we have started to explore the effect that monomer placement has on lithographic performance and found that indeed sequence does play an important role. Sequences of solubility switch groups, adhesive, etch resistant and hydrophobic groups have been studied. Using e-beam lithography we have recently demonstrated sub-30 nm resolution.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"30 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133251058","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:604180095
Book学术官方微信