微电子制造学报最新文献

筛选
英文 中文
Novel Pattern-Centric Solution for XtackingTM AFM Metrology 以模式为中心的XtackingTM AFM计量新解决方案
微电子制造学报 Pub Date : 2019-01-01 DOI: 10.33079/jomm.19020403
Sicong Wang, . Ltd Wuhan China Yangtze Memory Technologies Co, J. Mi, A. Vikram, Gao Xu, Guojie Chen, Liming Zhang, Pan Liu
{"title":"Novel Pattern-Centric Solution for XtackingTM AFM Metrology","authors":"Sicong Wang, . Ltd Wuhan China Yangtze Memory Technologies Co, J. Mi, A. Vikram, Gao Xu, Guojie Chen, Liming Zhang, Pan Liu","doi":"10.33079/jomm.19020403","DOIUrl":"https://doi.org/10.33079/jomm.19020403","url":null,"abstract":"","PeriodicalId":66020,"journal":{"name":"微电子制造学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"69492483","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Study on Simulation and Profile Prediction of Atomic Layer Deposition 原子层沉积模拟与剖面预测研究
微电子制造学报 Pub Date : 2019-01-01 DOI: 10.33079/jomm.20030303
Lei Qu, Chen Li, Jiang Yan, Rui Chen, Jing Zhang, Yanrong Wang, Yayi Wei
{"title":"Study on Simulation and Profile Prediction of Atomic Layer Deposition","authors":"Lei Qu, Chen Li, Jiang Yan, Rui Chen, Jing Zhang, Yanrong Wang, Yayi Wei","doi":"10.33079/jomm.20030303","DOIUrl":"https://doi.org/10.33079/jomm.20030303","url":null,"abstract":"The Atomic Layer Deposition process (ALD) is widely used in FinFET, 3D-NAND and other important technologies because of its self-limiting signature and low growth temperature. In recent years, the development of computer enables chances for ALD process simulation in order to improve the process R&D efficiency. In this paper, steady state theory and vacuum pump theory are implemented to develop the growth rate algorithm of atomic layer deposition. The dynamic evolution of the deposition profile is realized based on cellular automata method, and fits the relationship between temperature and growth rate in HfO2 deposition. The model accuracy and simulation results are verified with high reliability. Based on the simulation results of this model, the influence of different substrate size and environmental dose on growth rate of pore structure is studied and analyzed. In the case of deep hole, high depth-to-width ratio hole, or when the gas entry time is below saturation, the growth rate decreases at the pore bottom. Meanwhile, the simulation considering the angle-of-inclination of the hole’s tapered sidewall indicates that the greater the angle, the better the distribution of flux.","PeriodicalId":66020,"journal":{"name":"微电子制造学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"69492609","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Flexible Pressure Sensor Based on Poly(dimethylsiloxane) Nanostructures Film 基于聚二甲基硅氧烷纳米结构薄膜的柔性压力传感器
微电子制造学报 Pub Date : 2019-01-01 DOI: 10.33079/jomm.19020302
Man Zhang, Liang-ping Xia, Suihu Dang, Lifang Shi, Axiu Cao, C. Du
{"title":"A Flexible Pressure Sensor Based on Poly(dimethylsiloxane) Nanostructures Film","authors":"Man Zhang, Liang-ping Xia, Suihu Dang, Lifang Shi, Axiu Cao, C. Du","doi":"10.33079/jomm.19020302","DOIUrl":"https://doi.org/10.33079/jomm.19020302","url":null,"abstract":"This paper proposed a flexible pressure sensor based on poly(dimethylsiloxane) nanostructures film and report an efficient, simple, and low-cost fabrication strategy via soft nanoimprint lithography. The pressure sensor can convert external pressure or mechanical deformation into electrical signal to detect pressure and strain changes based on the coupling of triboelectrification and electrostatic induction. To enhance the performance of the pressure sensor, it consists of sub-500 nm resolution on the surface of elastic poly(dimethylsiloxane) sensitive layer and an indium tin oxide electrode thin film. When the pressure applied on the nanostructures layer, triboelectrostatic charges are induced. In the experiment, it measures up to sensitivity of 0.8 V/kPa at frequency of 5 Hz. This study results in potential applications such as wearable smart devices and skin-attachable diagnostics sensing systems.","PeriodicalId":66020,"journal":{"name":"微电子制造学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"69492017","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
An Innovative Method to Improve Model Accuracy by Implementing Multi-models Scheme for 28nm Node and Below 采用多模型方案提高28nm及以下节点模型精度的创新方法
微电子制造学报 Pub Date : 2019-01-01 DOI: 10.33079/jomm.19020304
Qing Q. C. Cao, Tianhui Li, Shuying Wang, Deyuan Xiao
{"title":"An Innovative Method to Improve Model Accuracy by Implementing Multi-models Scheme for 28nm Node and Below","authors":"Qing Q. C. Cao, Tianhui Li, Shuying Wang, Deyuan Xiao","doi":"10.33079/jomm.19020304","DOIUrl":"https://doi.org/10.33079/jomm.19020304","url":null,"abstract":": As the process comes into 28nm node and below, lithography struggles stronger between high resolution (high NA) and enough process window especially for hole layers (Contacts and Vias). Taking more care of process window may result in lower image quality of structures and bigger uncertainty in OPC model accuracy. Besides, it is difficult to cover all kinds of test structures within acceptable accuracy in one OPC model because of distinct difference of image quality of different patterns. To solve these problems, this paper introduces an innovative method of applying multi-models in one layer OPC. According to different characteristic features, multiple models are applied respectively and the fitting on these features with poor resolution can be improved by re-optimizing based on related model. A practice for 28 nm Via layer modeling calibration is given, and it shows an evident improvement of model accuracy through the implementing of multiple models scheme.","PeriodicalId":66020,"journal":{"name":"微电子制造学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"69492021","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Innovation on Line Cut Methods of Self-aligned Multiple Patterning 自对齐多图形线切方法的创新
微电子制造学报 Pub Date : 2019-01-01 DOI: 10.33079/jomm.19020301
Jeff Shu, Stone Break Rd Extension Malta Ny Globalfoundries
{"title":"Innovation on Line Cut Methods of Self-aligned Multiple Patterning","authors":"Jeff Shu, Stone Break Rd Extension Malta Ny Globalfoundries","doi":"10.33079/jomm.19020301","DOIUrl":"https://doi.org/10.33079/jomm.19020301","url":null,"abstract":"Abstract: Self-aligned multiple patterning (SAMP) can enable the semiconductor scaling before EUV lithography becomes mature for industry use. Theoretically any small size of pitch can be achieved by repeating SADP on same wafer but with challenges of pitch walking and line cut since line cut has to be done by lithography instead of self-aligned method. Line cut can become an issue at sub-30nm pitch due to edge placement error (EPE). In this paper we will discuss some recent novel ideas on line cut after self-aligned multiple patterning.","PeriodicalId":66020,"journal":{"name":"微电子制造学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"69492386","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
White Light Interference Solution for Novel 3D NAND VIA Dishing Metrology 新型3D NAND - VIA碟形测量的白光干涉解决方案
微电子制造学报 Pub Date : 2019-01-01 DOI: 10.33079/jomm.19020407
Xiaoye Ding, . Ltd Wuhan China Yangtze Memory Technologies Co, Sicong Wang, Yi Zhou, Yanzhong Ma, Le Yang, Chi Chen, Shenzhen China Skyverse Ltd
{"title":"White Light Interference Solution for Novel 3D NAND VIA Dishing Metrology","authors":"Xiaoye Ding, . Ltd Wuhan China Yangtze Memory Technologies Co, Sicong Wang, Yi Zhou, Yanzhong Ma, Le Yang, Chi Chen, Shenzhen China Skyverse Ltd","doi":"10.33079/jomm.19020407","DOIUrl":"https://doi.org/10.33079/jomm.19020407","url":null,"abstract":"","PeriodicalId":66020,"journal":{"name":"微电子制造学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"69492502","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A Photolithography Process Design for 5 nm Logic Process Flow 5nm逻辑工艺流程的光刻工艺设计
微电子制造学报 Pub Date : 2019-01-01 DOI: 10.33079/jomm.19020408
Qiang Wu, Yanli Li, Yang Yushu, Yuhang Zhao
{"title":"A Photolithography Process Design for 5 nm Logic Process Flow","authors":"Qiang Wu, Yanli Li, Yang Yushu, Yuhang Zhao","doi":"10.33079/jomm.19020408","DOIUrl":"https://doi.org/10.33079/jomm.19020408","url":null,"abstract":"","PeriodicalId":66020,"journal":{"name":"微电子制造学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"69492506","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
DFM: “Design for Manufacturing” or “Design Friendly Manufacturing” DFM:“面向制造的设计”或“设计友好型制造”
微电子制造学报 Pub Date : 2019-01-01 DOI: 10.33079/jomm.20030101
Wenzhan Zhou, Hung-wen Chao, Yu Zhang, Chan-Yuan Hu, Wei Yuan, Yifei Lu, Hongmei Hu, Xiang Peng
{"title":"DFM: “Design for Manufacturing” or “Design Friendly Manufacturing”","authors":"Wenzhan Zhou, Hung-wen Chao, Yu Zhang, Chan-Yuan Hu, Wei Yuan, Yifei Lu, Hongmei Hu, Xiang Peng","doi":"10.33079/jomm.20030101","DOIUrl":"https://doi.org/10.33079/jomm.20030101","url":null,"abstract":": As the IC manufacturing enter sub 20nm tech nodes, DFM become more and more important to make sure more stable yield and lower cost. However, by introducing newly designed hardware (1980i etc.) process chemical (NTD) and Control Algorithm (Focus APC) into the mature tech nodes such as 14nm/12nm, more process window and less process variations are expected for latecomer wafer fabs (Tier-2/3 companies) who just started the competition with Tier-1 companies. With improved weapons, latecomer companies are able to review their DFM strategy one more time to see whether the benefit from hardware/process/control algorithm improvement can be shared with designers. In this paper, we use OPC simulation tools from different EDA suppliers to see the feasibility of transferring the benefits of hardware/process/control algorithm improvement to more relaxed design limitation through source mask optimization (SMO): 1) Better hardware: scanner (better focus/exposure variation), CMP (intrafield topo), Mask CD variation (relaxed MEEF spec), etc. 2) New process: from positive tone development to negative tone development. 3) Better control schemes: holistic focus feedback, feedback/forward overlay control, high order CD uniformity improvement. Simulations show all those gains in hardware and process can be transferred into more relaxed design such as sub design rule structure process window include forbidden pitches (1D) and smaller E2E gaps (2D weak points).","PeriodicalId":66020,"journal":{"name":"微电子制造学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"69492514","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Current Status of the Integrated Circuit Industry in China ― EDA Industry Review 中国集成电路产业的现状- EDA产业评论
微电子制造学报 Pub Date : 2019-01-01 DOI: 10.33079/jomm.19020305
Litho World
{"title":"Current Status of the Integrated Circuit Industry in China ― EDA Industry Review","authors":"Litho World","doi":"10.33079/jomm.19020305","DOIUrl":"https://doi.org/10.33079/jomm.19020305","url":null,"abstract":"","PeriodicalId":66020,"journal":{"name":"微电子制造学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"69492025","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
EUV Lithography: State-of-the-Art Review EUV光刻技术:最新进展
微电子制造学报 Pub Date : 2019-01-01 DOI: 10.33079/jomm.19020202
N. Fu, .. L. S. C. HiSilicon Technologies Co, Yanxiang Liu, Xiaolong Ma, Zhanfen Chen
{"title":"EUV Lithography: State-of-the-Art Review","authors":"N. Fu, .. L. S. C. HiSilicon Technologies Co, Yanxiang Liu, Xiaolong Ma, Zhanfen Chen","doi":"10.33079/jomm.19020202","DOIUrl":"https://doi.org/10.33079/jomm.19020202","url":null,"abstract":"","PeriodicalId":66020,"journal":{"name":"微电子制造学报","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2019-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"69492366","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 35
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信