ICCAD. IEEE/ACM International Conference on Computer-Aided Design最新文献

筛选
英文 中文
Multi-level Network Optimization For Low Power 低功耗多层次网络优化
ICCAD. IEEE/ACM International Conference on Computer-Aided Design Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629821
S. Iman, Massoud Pedram
{"title":"Multi-level Network Optimization For Low Power","authors":"S. Iman, Massoud Pedram","doi":"10.1109/ICCAD.1994.629821","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629821","url":null,"abstract":"This paper describes a procedure for minimizing the power consumption in a boolean network under the zero delay model. Power is minimized by modifying the function of each intermediate node in the network such that the power consumption of the node is decreased without increasing the power consumption of the other nodes in the network. A formal analysis of how changes in the switching activity of an intermediate node affect the switching activity of other nodes in the networks is given first. Using this analysis, a procedure for calculating the set of compatible power don't cares for each node in the network is presented. Finally it is shown how these don't cares are used to optimize the network for low power. These techniques have been implemented and results show an average of 10% improvement in total power consumption of the network compared to the results generated by the conventional network optimization techniques.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86019943","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 66
Test Pattern Generation Based On Arithmetic Operations 基于算术运算的测试模式生成
ICCAD. IEEE/ACM International Conference on Computer-Aided Design Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629753
Sanjay Gupta, J. Rajski, J. Tyszer
{"title":"Test Pattern Generation Based On Arithmetic Operations","authors":"Sanjay Gupta, J. Rajski, J. Tyszer","doi":"10.1109/ICCAD.1994.629753","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629753","url":null,"abstract":"Existing built-in self test (BIST) strategies require the use of specialized test pattern generation hardware which introduces significant area overhead and performance degradation. In this paper, we propose a novel method for implementing test pattern generators based on adders widely available in data-path architectures and digital signal processing circuits. Test patterns are generated by continuously accumulating a constant value and their quality is evaluated in terms of the pseudo-exhaustive state coverage on subspaces of contiguous bits. This new test generation scheme, along with the recently introduced accumulator-based compaction scheme facilitates a BIST strategy for high performance datapath architectures that uses the functionality of existing hardware, is entirely integrated with the circuit under test, and results in at-speed testing with no performance degradation and area overhead.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77107098","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 41
Compression-relaxation: A New Approach To Performance Driven Placement For Regular Architectures 压缩松弛:常规架构中性能驱动布局的新方法
ICCAD. IEEE/ACM International Conference on Computer-Aided Design Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629755
Anmol Mathur, C. Liu
{"title":"Compression-relaxation: A New Approach To Performance Driven Placement For Regular Architectures","authors":"Anmol Mathur, C. Liu","doi":"10.1109/ICCAD.1994.629755","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629755","url":null,"abstract":"We present a new iterative algorithm for performance driven placement applicable to regular architectures such as FPGAs. Our algorithm has two phases in each iteration: a compression phase and a relaxation phase. We employ a novel compression strategy based on the longest path tree of a cone for improving the timing performance of a given placement. Compression might cause a feasible placement to become infeasible. The concept of a slack neighborhood graph is introduced and is used in the relaxation phase to transform an infeasible placement to a feasible one using a mincost flow formulation. Our analytical results regarding the bounds on delay increase during relaxation are validated by the rapid convergence of our algorithm on benchmark circuits. We obtain placements that have 13% less critical path delay (on the average) than those generated by the Xilinx automatic place and route tool (apr) on technology mapped MCNC benchmark circuits with significantly less CPU time than apr.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78571389","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
Synthesis Of Hazard-free Multi-level Logic Under Multiple-input Changes From Binary Decision Diagrams 基于二元决策图的多输入变化下的无危险多级逻辑综合
ICCAD. IEEE/ACM International Conference on Computer-Aided Design Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629874
Bill Lin, S. Devadas
{"title":"Synthesis Of Hazard-free Multi-level Logic Under Multiple-input Changes From Binary Decision Diagrams","authors":"Bill Lin, S. Devadas","doi":"10.1109/ICCAD.1994.629874","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629874","url":null,"abstract":"We describe a new method for directly synthesizing a hazard-free multilevel logic implementation from a given logic specification. The method is based on free/ordered Binary Decision Diagrams (BDD's), and is naturally applicable to multiple-output logic functions. Given an incompletely-specified (multiple-output) Boolean function, the method produces a multilevel logic network that is hazard-free for a specified set of multiple-input changes. We assume an arbitrary (unbounded) gate and wire delay model under a pure delay (PD) assumption, we permit multiple-input changes, and we consider both static and dynamic hazards. This problem is generally regarded as a difficult problem and it has important applications in the field of asynchronous design. The method has been automated and applied to a number of examples. The results we have obtained are very promising.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78493622","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 43
A Specified Delay Accomplishing Clock Router Using Multiple Layers 一种使用多层实现指定延迟的时钟路由器
ICCAD. IEEE/ACM International Conference on Computer-Aided Design Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629782
M. Seki, Kenji Inoue, Kazuo Kato, K. Tsurusaki, S. Fukasawa, H. Sasaki, M. Aizawa
{"title":"A Specified Delay Accomplishing Clock Router Using Multiple Layers","authors":"M. Seki, Kenji Inoue, Kazuo Kato, K. Tsurusaki, S. Fukasawa, H. Sasaki, M. Aizawa","doi":"10.1109/ICCAD.1994.629782","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629782","url":null,"abstract":"Clock routing to minimize the clock skew is very necessary to make high performance LSIs. Our clock routing method: (1) realizes the specified delay to each input terminal and provides a zero skew; (2) uses multiple routing layers for pin-to-pin routing; and (3) considers the delay arising from the resistance of a through-hole. Experimental results show that the delay is within 1% error compared to the specified delay and the skew can be controlled within pico second order.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82271639","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Estimation Of Circuit Activity Considering Signal Correlations And Simultaneous Switching 考虑信号相关和同时交换的电路活度估计
ICCAD. IEEE/ACM International Conference on Computer-Aided Design Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629784
T. Chou, K. Roy, S. Prasad
{"title":"Estimation Of Circuit Activity Considering Signal Correlations And Simultaneous Switching","authors":"T. Chou, K. Roy, S. Prasad","doi":"10.1109/ICCAD.1994.629784","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629784","url":null,"abstract":"This paper presents accurate estimation of signal activity at the internal nodes of CMOS combinational logic circuits. The methodology is based on stochastic model of logic signals and takes correlations and simultaneous switching of signals at logic gate inputs into consideration. In combinational logic synthesis, in order to minimize spurious transitions due to finite propagation delays, it is crucial to balance all signal paths and to reduce the logic depth. As a result of balancing delays through different paths, the inputs to logic gates may switch at approximately the same time. We have developed and implemented an technique to calculate signal probability and switching activity of the CMOS combinational logic circuits. Experimental results show that if simultaneous switching is not considered the switching activities of the internal nodes can be off by more than 100% compared to simulation based techniques. In contrast, our technique is on the average within 2% of logic simulation results.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88870322","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 103
Efficient Implementation Of Retiming 重新计时的有效实现
ICCAD. IEEE/ACM International Conference on Computer-Aided Design Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629770
Jia Wang, H. Zhou
{"title":"Efficient Implementation Of Retiming","authors":"Jia Wang, H. Zhou","doi":"10.1109/ICCAD.1994.629770","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629770","url":null,"abstract":"Retiming is a technique for optimizing sequential circuits. It repositions the registers in a circuit leaving the combinational cells untouched. The objective of retiming is to find a circuit with the minimum number of registers for a specified clock period. More than ten years have elapsed since Leiserson and Saxe first presented a theoretical formulation to solve this problem for single-clock edge-triggered sequential circuits. Their proposed algorithms have polynomial complexity; however naive implementations of these algorithms exhibit O ( n 3 ) time complexitiy and O ( n 2 ) space complexity when applied to digital circuits with n combinational cells. This renders retiming ineffective for circuits with more than 500 combinational cells. This paper addresses the implementation issues required to exploit the sparsity of circuit graphs to allow min-period retiming and constrained min-area retiming to be applied to circuits with as many as 10,000 combinational cells. We believe this is the first paper to address these issues and the first to report retiming results for large circuits.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89082842","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 24
Fast Transient Power And Noise Estimation For VLSI Circuits VLSI电路的快速瞬态功率和噪声估计
ICCAD. IEEE/ACM International Conference on Computer-Aided Design Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629775
W. Eisenmann, H. Graeb
{"title":"Fast Transient Power And Noise Estimation For VLSI Circuits","authors":"W. Eisenmann, H. Graeb","doi":"10.1109/ICCAD.1994.629775","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629775","url":null,"abstract":"Today's digital design systems are running out of steam, when it comes to meeting the challenges presented by simultaneous switching, power consumption and reliabilty constraints emerging in VLSI circuits. In this paper a new technique to accurately estimate the transient behavior of large CMOS cell-based circuits in a reasonable amount of time is presented. Gate-level simulations and a consistent modeling methodology are employed to compute the time-domain waveforms for signal voltages, supply currents, power consumption and Δ&Igr; noise on power lines. This can be done for circuit blocks and complete designs by our new tool POWTIM, which adds SPICE-like capabilities to digital design standards.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88848430","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Random Pattern Testable Logic Synthesis 随机模式可测试逻辑综合
ICCAD. IEEE/ACM International Conference on Computer-Aided Design Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629754
Chen-Huan Chiang, S. Gupta
{"title":"Random Pattern Testable Logic Synthesis","authors":"Chen-Huan Chiang, S. Gupta","doi":"10.1109/ICCAD.1994.629754","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629754","url":null,"abstract":"Previous procedures for synthesis of testable logic guarantee that all faults in the synthesized circuits are detectable. However, the detectability of many faults in these circuits can be very low leading to poor random pattern testability. A new procedure to perform logic synthesis that synthesizes random pattern testable multilevel circuits is proposed. Experimental results show that the circuits synthesized by the proposed procedure tstfx are significantly more random pattern testable and smaller than those synthesized using its counterpart fast_extract (fx) in SIS. The proposed synthesis procedure design circuits that require only simple random pattern generators in built-in self-test, thereby obviating the need for complex BIST circuitry.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83742732","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 27
A New Approach For Factorizing FSM's 一种分解FSM的新方法
ICCAD. IEEE/ACM International Conference on Computer-Aided Design Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629898
C. Mohan, P. Chakrabarti
{"title":"A New Approach For Factorizing FSM's","authors":"C. Mohan, P. Chakrabarti","doi":"10.1109/ICCAD.1994.629898","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629898","url":null,"abstract":"Exact Factors as defined in [2], if present in an FSM can result in most effective way of factorization. However, it has been found that most of the FSM's are not exact factorizable. In this paper, we have suggested a method of making FSM's exact factorizable by minor changes in the next state space while maintaining the functionality of the FSM. We have also developed a new combined state assignment algorithm for state encoding of Factored and Factoring FSM's. Experimental results on MCNC benchmark examples, after running MISII on the Original FSM, Factored FSM and Factoring FSM have shown a reduction of 40% in the worst case signal delay through the circuit in a multilevel implementation. The total number of literals, on an average is the same after factorization as that obtained by running MISII on the original FSM. For two-level implementation, our method has been able to factorize Benchmark FSM's with a 14% average increase in overall areas, while the areas of combinational components of Factored and Factoring FSM's have been found to be significantly less than the area of the combinational component of the original FSM.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88736925","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信