2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103)最新文献

筛选
英文 中文
A translinear-based chip for linear LINC transmitters 一种用于线性LINC发射机的跨线性芯片
2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103) Pub Date : 2000-06-15 DOI: 10.1109/VLSIC.2000.852851
Bo Shi, L. Sundstrom
{"title":"A translinear-based chip for linear LINC transmitters","authors":"Bo Shi, L. Sundstrom","doi":"10.1109/VLSIC.2000.852851","DOIUrl":"https://doi.org/10.1109/VLSIC.2000.852851","url":null,"abstract":"The LINC transmitter provides linear amplification using nonlinear but power efficient amplifiers. The signal component separator (SCS) is a crucial function of LINC. This paper presents a 200 MHz IF SCS chip implemented in a 0.8 /spl mu/m BiCMOS process using a novel design based on translinear circuits. The experimental LINC transmitter, built with the chip and nonlinear amplifiers, had output spurious levels around -55 dBc for both a NADC signal and a PHS signal. This implies a high degree of linearity.","PeriodicalId":6361,"journal":{"name":"2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-06-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72762590","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
A next generation channeled-DRAM architecture with direct background-operation and delayed channel-replacement techniques 具有直接后台操作和延迟通道替换技术的下一代通道dram架构
2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103) Pub Date : 2000-06-15 DOI: 10.1109/VLSIC.2000.852864
Y. Yabe, N. Nakamura, Y. Aimoto, M. Motomura, Yasuhiro Matsui, Y. Adakura
{"title":"A next generation channeled-DRAM architecture with direct background-operation and delayed channel-replacement techniques","authors":"Y. Yabe, N. Nakamura, Y. Aimoto, M. Motomura, Yasuhiro Matsui, Y. Adakura","doi":"10.1109/VLSIC.2000.852864","DOIUrl":"https://doi.org/10.1109/VLSIC.2000.852864","url":null,"abstract":"As processor performance is reaching the level of executing a single instruction in 1 ns, long memory latencies have become a critical problem, because a single memory access could stall the execution of hundreds of instructions. A recently announced channeled-DRAM approaches this problem by integrating a small low-latency buffer, called \"channels\", in front of a DRAM core in order to reduce the effective memory latency. Since the channels can provide intrinsically faster access than that of a bare DRAM core when they hit, key considerations in this architecture become (1) how to achieve high channel hit rates and (2) how to reduce the channel-miss latencies. Since channeled-DRAMs rely on an external memory controller to handle all the channel management, design of the memory controller heavily dominates the first issue. In this paper, we propose two novel techniques for reducing the channel-miss latencies: direct background operation and delayed channel replacement. We examined these techniques in a future 256-Mb DRAM with a 200-MHz double-data-rate (DDR) synchronous interface. Both SPICE simulation results (that show channel-miss latency reduction) and system-level simulation results (that reveal system-level performance improvement) are presented.","PeriodicalId":6361,"journal":{"name":"2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-06-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75391713","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Linearization method for fast voltage-to-current converters 快速电压-电流变换器的线性化方法
2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103) Pub Date : 2000-06-15 DOI: 10.1109/VLSIC.2000.852874
C. Paulus, R. Thewes
{"title":"Linearization method for fast voltage-to-current converters","authors":"C. Paulus, R. Thewes","doi":"10.1109/VLSIC.2000.852874","DOIUrl":"https://doi.org/10.1109/VLSIC.2000.852874","url":null,"abstract":"A universal linearization technique applicable to fast, nonlinear voltage-to-current converters (VCC) is presented. The method is based on a new circuit architecture combining a set of given basic VCCs with resistors which operate as linear current-to-voltage converters (CVC). The linearity of the resulting circuit is essentially improved compared to that of a single basic VCC with only small losses in conversion speed. Operational amplifiers are not required so that this approach is also applicable at low supply voltages. Experimental data are shown which demonstrate an improvement in linearity of approximately one decade.","PeriodicalId":6361,"journal":{"name":"2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-06-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83005755","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
On-chip picosecond time measurement 片上皮秒时间测量
2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103) Pub Date : 2000-06-15 DOI: 10.1109/VLSIC.2000.852849
V. Gutnik, A. Chandrakasan
{"title":"On-chip picosecond time measurement","authors":"V. Gutnik, A. Chandrakasan","doi":"10.1109/VLSIC.2000.852849","DOIUrl":"https://doi.org/10.1109/VLSIC.2000.852849","url":null,"abstract":"A flash Time to Digital Converter (TDC) can be calibrated to a precision on the order of the arbiter aperature without precise input signals. A theoretical result useful for calibration of a noise-limited arbiter array is derived, and verified empirically. A test chip with 64 arbiters in a 0.35 /spl mu/m CMOS process shows temporal resolution better than 2 picoseconds.","PeriodicalId":6361,"journal":{"name":"2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-06-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73568421","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 68
Addressing ESD for microprocessors and ASICs in 21st century technologies 21世纪微处理器和专用集成电路ESD技术的研究
2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103) Pub Date : 2000-06-15 DOI: 10.1109/VLSIC.2000.852858
A. Amerasekera
{"title":"Addressing ESD for microprocessors and ASICs in 21st century technologies","authors":"A. Amerasekera","doi":"10.1109/VLSIC.2000.852858","DOIUrl":"https://doi.org/10.1109/VLSIC.2000.852858","url":null,"abstract":"In this paper we review the present approaches to ESD for microprocessors and ASICs, and the different requirements and constraints for these chips. The evolution of these requirements in the next generations of ICs is discussed.","PeriodicalId":6361,"journal":{"name":"2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-06-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89053822","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
A 1.8 V 18 Mb DDR CMOS SRAM with power reduction techniques 采用功耗降低技术的1.8 V 18mb DDR CMOS SRAM
2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103) Pub Date : 2000-06-15 DOI: 10.1109/VLSIC.2000.852855
A. Kawasumi, A. Suzuki, H. Hatada, Y. Takeyama, O. Hirabayashi, Y. Kameda, T. Hamano, N. Otsuka
{"title":"A 1.8 V 18 Mb DDR CMOS SRAM with power reduction techniques","authors":"A. Kawasumi, A. Suzuki, H. Hatada, Y. Takeyama, O. Hirabayashi, Y. Kameda, T. Hamano, N. Otsuka","doi":"10.1109/VLSIC.2000.852855","DOIUrl":"https://doi.org/10.1109/VLSIC.2000.852855","url":null,"abstract":"In view of the remarkable progress in MPU performance, improvement in the data rate of L2 cache SRAMs is desirable to maximize system performance. As a solution, Double-Data-Rate (DDR) SRAMs, which can realize an I/O frequency of up to twice that of conventional Single-Data-Rate (SDR) SRAMs, have been reported. Increase in operation-current due to higher operation frequency causes severe power-line noise and heating. Therefore, reduction of operation-current is an important issue in designing high-speed SRAMs. In order to realize both high-frequency operation and power reduction, we propose new sense circuitry and a bit-line load scheme.","PeriodicalId":6361,"journal":{"name":"2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-06-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83915293","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Comparative delay, noise and energy of high-performance domino adders with stack node preconditioning (SNP) 基于堆栈节点预处理(SNP)的高性能domino加法器的延迟、噪声和能量比较
2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103) Pub Date : 2000-06-15 DOI: 10.1109/VLSIC.2000.852886
Yibin Ye, J. Tschanz, S. Narendra, S. Borkar, M. Stan, V. De
{"title":"Comparative delay, noise and energy of high-performance domino adders with stack node preconditioning (SNP)","authors":"Yibin Ye, J. Tschanz, S. Narendra, S. Borkar, M. Stan, V. De","doi":"10.1109/VLSIC.2000.852886","DOIUrl":"https://doi.org/10.1109/VLSIC.2000.852886","url":null,"abstract":"Stack node preconditioning (SNP) and \"mutex\" techniques for charge-sharing noise reduction are incorporated into the critical path gates containing transistor stacks in 32-bit domino adders to simultaneously improve best achievable performance by 10% and reduce charge-sharing noise by 2/spl times/ in circuits containing transistor stacks.","PeriodicalId":6361,"journal":{"name":"2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-06-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85802075","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
VLSI implementation of dynamically reconfigurable hardware-based cryptosystem 基于硬件的动态可重构密码系统的VLSI实现
2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103) Pub Date : 2000-06-15 DOI: 10.1109/VLSIC.2000.852891
Y. Mitsuyama, Z. Andales, T. Onoye, I. Shirakawa
{"title":"VLSI implementation of dynamically reconfigurable hardware-based cryptosystem","authors":"Y. Mitsuyama, Z. Andales, T. Onoye, I. Shirakawa","doi":"10.1109/VLSIC.2000.852891","DOIUrl":"https://doi.org/10.1109/VLSIC.2000.852891","url":null,"abstract":"A cipher core has been implemented, which is dedicated to the 64-bit block, 128-bit key novel hardware-based cryptosystem called Chameleon. Chameleon adopts the approach that is distinctive for its two 32-cell, 8-context dynamically reconfigurable unit to generate subkeys for each of the 16 iterations of encryption process. The proposed cipher core has been integrated in the die area of 5.90 mm/sup 2/ by means of a 0.6 /spl mu/m CMOS 3 LM technology which attains a maximum throughput of 635 Mbps.","PeriodicalId":6361,"journal":{"name":"2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-06-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80517534","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A CMOS image sensor for focal-plane low-power motion vector estimation 一种用于焦平面低功耗运动矢量估计的CMOS图像传感器
2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103) Pub Date : 2000-06-15 DOI: 10.1109/VLSIC.2000.852842
D. Handoko, Shoji Kawahito, M. Kumahara, Akira Matsuzawa
{"title":"A CMOS image sensor for focal-plane low-power motion vector estimation","authors":"D. Handoko, Shoji Kawahito, M. Kumahara, Akira Matsuzawa","doi":"10.1109/VLSIC.2000.852842","DOIUrl":"https://doi.org/10.1109/VLSIC.2000.852842","url":null,"abstract":"This paper presents a CMOS image sensor which captures intermediate pictures at 480 frames/s and a fully accumulated picture at 30 frames/s. The CMOS image sensor is for integrating a low-power motion vector estimation (MVE) engine using the iterative block matching algorithm proposed by the authors.","PeriodicalId":6361,"journal":{"name":"2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-06-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78896562","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 22
A variable gain CMOS amplifier with exponential gain control 具有指数增益控制的可变增益CMOS放大器
2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103) Pub Date : 2000-06-15 DOI: 10.1109/VLSIC.2000.852875
Christopher W. Mangelsdorf
{"title":"A variable gain CMOS amplifier with exponential gain control","authors":"Christopher W. Mangelsdorf","doi":"10.1109/VLSIC.2000.852875","DOIUrl":"https://doi.org/10.1109/VLSIC.2000.852875","url":null,"abstract":"A variable gain amplifier architecture suitable for foundry CMOS is constructed using linearized transconductance blocks. The use of a four-transistor transconductance cell allows for wider gain range and larger signal swing under low supply conditions than the simple differential pair used in previous work. Experimental results with 0.6 /spl mu/m CMOS show -5 to 35 dB gain and 20 MHz bandwidth at 21 mW.","PeriodicalId":6361,"journal":{"name":"2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2000-06-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76834749","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 59
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信