C. H. Canpolat-Schmidt, G. Heldt, C. Helke, A. Voigt, D. Reuter
{"title":"Lithographic performance of resist ma-N 1402 in an e-beam/i-line stepper intra-level mix and match approach","authors":"C. H. Canpolat-Schmidt, G. Heldt, C. Helke, A. Voigt, D. Reuter","doi":"10.1117/12.2639447","DOIUrl":"https://doi.org/10.1117/12.2639447","url":null,"abstract":"In this paper, we describe a lithographic technique of exposing complex patterns with an advanced resist processing that connects the high resolution of electron beam lithography and the fast exposure of optical i-line stepper lithography via an Intra Level Mix and Match (ILM&M) approach. The key element of our approach is that we use two successive exposures on one single resist layer directly followed by a single resist development. Process and resist characterization of negative tone resist ma-N 1402 as well as a resolution study for each lithographic tools involved. Lithographic performance of negative tone resist ma-N 1402 has shown structures with dimensions of 55 nm with 300 nm pitch for ebeam lithography (VISTEC SB254, shaped beam) and 350 nm structures for i-line stepper (Nikon NSR 2205i11D). Resist footing problem in structures exposed by i-line stepper is solved by introducing a 200 nm thick bottom antireflective coating AZ BARLI II in ILM&M resist processing sequence. A general processing recipe for electron beam/i-line stepper ILM&M with negative tone resist ma-N 1402 is successfully developed and patterns with different dimensions ranging from sub 100 nm to μm scale were reproducibly fabricated on the same resist layer.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"180 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116477359","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
E. Soltani, B. Le-Gratiet, S. Bérard-Bergery, J. Pradelles, S. Desmoulins, Emmanuel Sicurani, R. Tiron
{"title":"Predicting DUV open contact risk with scarce sampling using new contour-based metrics","authors":"E. Soltani, B. Le-Gratiet, S. Bérard-Bergery, J. Pradelles, S. Desmoulins, Emmanuel Sicurani, R. Tiron","doi":"10.1117/12.2640712","DOIUrl":"https://doi.org/10.1117/12.2640712","url":null,"abstract":"Background: Stochastic effects in DUV lithography are manifested by variabilities in critical dimension (CD), in placement or in shape. A combination of these very local variabilities can lead to yield killer open contacts. Traditionally, opens are massively measured with Voltage Contrast (VC) tools, returning the defects density after etching and metal filling. Aim: A set of contour-based metrics for the quantification of stochastic effects in DUV has already been presented. In this paper, we correlate these metrics and open count to predict failure risk. Approach: With an in-depth analysis of post-lithography CD-SEM images, we investigate if variabilities inside the metrology target are forerunners of open risk inside the product. It is challenging because of the difference between the surface inspected with defectivity tools and the one measured with CD-SEM. Results: We applied the methodology on contacts of a 28 nm node technology, on a Focus Exposure Matrix (FEM) wafer, to obtain post-lithography contour-based metrics mappings. A new metric has been computed: the classification of shapes inside the image. After post-processing, the correlations between contour-based metrics and the log value of open count are presented. A threshold value of size variability emerges above which open risk is too high, enabling process monitoring. Conclusion: As contour-based metrology offers complementary metrics not only related to CD metrology, we can now predict open probability with new indicators coming from traditional CD-SEM images. This early detection of an atypical situation allows the process assessment.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2022-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129776544","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Sangjin Cho, Byung Pil Lee, Ji Hyang Kim, Won Kyeong Song, Heon Kyu Choi, Gil Su Lee, Sung Wan Kim, J. Kim
{"title":"Haze and pellicle material selection for haze free","authors":"Sangjin Cho, Byung Pil Lee, Ji Hyang Kim, Won Kyeong Song, Heon Kyu Choi, Gil Su Lee, Sung Wan Kim, J. Kim","doi":"10.1117/12.2535666","DOIUrl":"https://doi.org/10.1117/12.2535666","url":null,"abstract":"The problem of haze occurrence in photolithography is one of the most important problems in the lithography industry. Understanding the conditions and mechanisms that generate haze defects provide important clues for preparing pellicle, photomask, and lithography environments for haze-free photolithography. In the pellicle industry, self-help efforts are being made to reduce the contribution of pellicles to haze occurrence, but haze occurs in the complex causal relationship of pellicles, photomasks, and lithography (fab environments). Therefore, haze reduce is difficult to solve with pellicle industry's efforts only. In this paper, we investigated microscopic images and occurrence mechanisms of haze defects formed from actually suspected chemicals, IC results of sulfate and ammonium ions, ArF light (excimer laser) resistance of anodized and new frame, also summarized the results of haze occurrence from previous research, and examined the occurrence pattern and location according to haze cause. Based on this, we propose the pellicle solution to control the haze reduction such as material selection of pellicle.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"132 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114659478","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Varvara Brackmann, M. Friedrich, Clyde Browning, N. Hanisch, B. Uhlig
{"title":"Influence of the dose assignment and fracturing type on patterns exposed by a variable shaped e-beam writer: simulation vs experiment","authors":"Varvara Brackmann, M. Friedrich, Clyde Browning, N. Hanisch, B. Uhlig","doi":"10.1117/12.2534642","DOIUrl":"https://doi.org/10.1117/12.2534642","url":null,"abstract":"The result of electron beam lithography is influenced by many effects: forward and backward scattering, formation of secondary electrons, re-scattering of electrons, chemicals diffusion in the resist material, wafer stack, etc. To achieve high resolution all these effects should be taken into account. Commonly, the electron energy distribution in the exposed matter is described by the Point Spread Function (PSF). This is a simple approach which takes into account large portion of phenomena using few parameters. PSF function is a Gauss or multiple Gauss function, which is determined experimentally by the calibration procedure. Each resist material with corresponding stack is characterised by its own PSF, in case of double Gaussian, with the following parameters: α, β and η. In the current work the PSF parameters were systematically varied to study their influence on the dose assignment and resulting pattern. This gives a broader understanding of the correction mechanism using PSF. Furthermore, the resulting shape of the structure is influenced not only by the PSF parameters and dose assignment, but by the fracturing type as well. All these effects were studied using experimental and simulation approaches.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"148 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115091123","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Towards a visualization of deep neural networks for rough line images","authors":"N. Chaudhary, S. Savari","doi":"10.1117/12.2535667","DOIUrl":"https://doi.org/10.1117/12.2535667","url":null,"abstract":"Low dose scanning electron microscope (SEM) images are an attractive option to estimate the roughness of nanos- tructures. We recently proposed two deep convolutional neural network (CNN) architectures named “LineNet” to simultaneously perform denoising and edge estimation on rough line SEM images. In this paper we consider multiple visualization tools to improve our understanding of LineNet1; one of these techniques is new to the visualization of denoising CNNs. We use the resulting insights from these visualizations to motivate a study of two variations of LineNet1 with fewer neural network layers. Furthermore, although in classification CNNs edge detection is commonly believed to happen early in the network, the visualization techniques suggest that important aspects of edge detection in LineNet1 occur late in the network.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"96 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121715134","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
K. Ronse, R. Jonckheere, E. Gallagher, V. Philipsen, L. van Look, E. Hendrickx, R. Kim
{"title":"EUVL is being inserted in manufacturing in 2019: What are the mask related challenges remaining?","authors":"K. Ronse, R. Jonckheere, E. Gallagher, V. Philipsen, L. van Look, E. Hendrickx, R. Kim","doi":"10.1117/12.2535821","DOIUrl":"https://doi.org/10.1117/12.2535821","url":null,"abstract":"As it has been widely announced by the leading foundries, and confirmed by ASML, EUV Lithography is being introduced into high volume manufacturing (HVM) since the beginning of this year, in order to enable a more cost-effective manufacturing for the 7nm logic technology node. Very soon, the next technology node will be introduced and the number of EUV layers at 5nm is expected to increase significantly. Although EUV masks are not regarded as the first critical issue for EUV introduction into HVM, several items with respect to EUV masks need more time for improvements, certainly for 5nm and beyond. This presentation will address several mask related items such as EUV pellicle, alternative absorber, EUV mask lifetime, etc... and finally anamorphic masks. This paper is reviewing the status and outlook for these remaining challenges.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"31 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122005036","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"MPC strategies for multi-beam mask writers","authors":"I. Bork, P. Buck","doi":"10.1117/12.2535375","DOIUrl":"https://doi.org/10.1117/12.2535375","url":null,"abstract":"The benefit of complex and curvilinear mask shapes as well as the demand for fast mask production cycles has been driving the development of Multi-Beam Mask Writers (MBMW) for several years. Meanwhile, those writers have reached a quality level where they can be integrated into mask production flows at various nodes and even be used for writing imprint lithography templates at wafer scale. 50 keV e-beam writers whether Multi-Beam or Variable Shaped Beam (VSB), are affected by scattering effects at various length scales and require significant corrections in order to print mask features on target. Correction methods for long-range effects such as PEC (Proximity Effect Correction) and FEC (Fogging Effect Correction) have been developed for VSB machines and can be applied to MBMWs in the same way. Similarly, long-range mask process effects like loading (LEC) can be corrected using the same methods as developed for VSB machines. Besides long-range scattering and etch effects, critical masks for the 14 nm technology node and below are affected by short-range scattering and etch effects like e-beam forward scattering and etch micro-loading. Those effects increase at length scales below several 100 nm and change printed CDs significantly at minimum feature sizes on DUV and EUV masks where SRAFs are targeted around 60 nm and 30 nm, respectively. Figure 1 (left) shows an example of a typical mask CD error signature where the range of CD errors from small, isolated features to large nested features can easily cover 15 nm or more. Those short range distortions are generally corrected using so called Mask-Process-Correction (MPC) tools which compensate mask errors by moving edges of the input design and optionally adjust the dose of printed features locally. Simulated mask contours before and after MPC are shown in Figure 1 (center, right) demonstrating the large effect of MPC on SRAFs but also the non-negligible effect on main feature CDs, especially on line-ends and narrow lines.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"31 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121378645","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
L. Devaraj, G. Bottiglieri, A. Erdmann, F. Wählisch, M. Kupers, E. van Setten, T. Fliervoet
{"title":"Lithographic effects due to particles on high-NA EUV mask pellicle","authors":"L. Devaraj, G. Bottiglieri, A. Erdmann, F. Wählisch, M. Kupers, E. van Setten, T. Fliervoet","doi":"10.1117/12.2534177","DOIUrl":"https://doi.org/10.1117/12.2534177","url":null,"abstract":"Defectivity in EUV scanners gains much more importance as they move towards the high-volume manufacturing. The reticle (mask) needs to be protected from particle contamination, both inside and outside the scanner environment. One widely used method to realize this is to make use of a thin protective layer on top of the mask, which is called pellicle. In this work we investigate the impact on printed features caused by particles laying on top of the pellicle for a High-NA EUV scanner. The study was supported by simulations using the most up to date High-NA EUV scanner projected design. The most relevant lithographic metrics (namely, change in Critical Dimension, Normalized Intensity Log Slope, dose sensitivity, non-Telecentricity, Pattern Shift and Mask Error Enhancement Factor) have been considered in the study. An experimentally calibrated simulation model is used to predict the particle transmission as function of the particle size. The goal is to set a well-reasoned (based on imaging requirements) maximum particle size specification for production of pellicles and cleanliness inspection. Some sets of mask patterns and sources (use cases) that likely will be used in high volume manufacturing are considered. Furthermore, a comparison with existing 0.33 NA EUV simulation results is done.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128980840","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Xiaolong Wang, L. Tseng, I. Mochi, M. Vockenhuber, L. van Lent-Protasova, Rolf Custers, G. Rispens, R. Hoefnagels, Y. Ekinci
{"title":"Progress in EUV resists for contact holes printing using EUV interference lithography","authors":"Xiaolong Wang, L. Tseng, I. Mochi, M. Vockenhuber, L. van Lent-Protasova, Rolf Custers, G. Rispens, R. Hoefnagels, Y. Ekinci","doi":"10.1117/12.2535678","DOIUrl":"https://doi.org/10.1117/12.2535678","url":null,"abstract":"Using high-resolution extreme ultraviolet interference lithography (EUV-IL), we investigated contact hole/pillars printing performance of several EUV resist platforms for the high-NA EUV lithography. We compared the dose and local critical dimension uniformity (LCDU) of the three chemically-amplified resists (CARs) with the best performance for printing contact holes (CHs) at half pitch (HP) of 24 and 20 nm. One of the CARs showed the lowest LCDU, 2.3 and 2.2 nm with lowest dose 16.4 and 21.1 mJ/cm2 for HP 24 and 20 nm, respectively. With the inorganic resist we obtained 38.8 mJ/cm2 with an LCDU of 1.3 nm for HP 20 nm pillars. We have also studied the effects of the resist thickness and post-exposure baking (PEB) temperature on the dose and LCDU. These results show that there are promising CAR and non-CAR resists for CH printing towards high-NA EUVL.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"59 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130768627","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Necessity is the mother of invention: support vector machines for CD control","authors":"C. Bürgel, M. Sczyrba, C. Utzny","doi":"10.1117/12.2535745","DOIUrl":"https://doi.org/10.1117/12.2535745","url":null,"abstract":"The currently increasing demand for photo-masks in the regime of the 14nm technology drives many initiatives towards capacity and throughput increase of existing production line. Such improvements are facilitated by improved control mechanisms of the tools and processes used within a production line. While process control of long range parameters such as the average CD behavior is demanding yet conceptually well understood, other parameters such as the small scales CD properties are quite often elusive to process control. These properties often require a dedicated test mask to be processed in order to be validated. In this paper we introduce a systematic approach towards a product based monitoring of small scale CD behavior which uses a CD characteristic extracted from the defect inspection process. This characteristic represents the influence of CD relevant processes starting from 200m up to 4000 m. Large variations in the scale and magnitude of the CD characteristic are induced by layout specific design variations. However, the shape of these distinct curves is remarkably similar, which enables their use for monitoring as well as controlling the mask processes on the above stated spatial scales. In this paper it is demonstrated, that a meaningful process evaluation can be performed by using the classification capabilities of the support vector machines. The small scales CD characteristics presented in figure 1 originate from two distinct tools. Matching of the two tools can be assessed by training a support vector machine to classify the small scales CD characteristics according to their origin. The classification performance on the resampled training set as well as on the validation set is a robust measure for tool matching. The results of this approach are depicted in figure 2. The left panel shows the AUC statistics of bootstrapping resamples for tool comparison “A”. In this case no noticeable difference between the two tools is found (an average AUC of 0.55 suggest no learnable difference). This is contrasted by the tool comparison “B”, here the classifier has an average AUC of 0.75, indicating a learnable difference in the tool performances. This result is backed by the process understand of both tool types.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"16 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2019-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115540387","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}