Photomask Technology最新文献

筛选
英文 中文
Rigorous 3D probabilistic computational lithography and chip-level inspection for EUV stochastic failure detection 严格的三维概率计算光刻技术和芯片级检测,用于检测 EUV 随机故障
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2687373
Eunju Kim, Wooseok Kim, Jonggwan Lee, Seongjong Kim, Sukyong Lee, Nohong Kwak, Mincheol Kang, Yongchul Jeong, Myungsoo Hwang, Chang-Min Park, Kyoil Koo, Seongtae Jeong, J. Biafore, Mark D. Smith, Trey Graves, Anatoly Burov, P. Vukkadala, Guy M. Parsey, Cao Zhang, Kunlun Bai, J. Krek, Craig D. Higgins, Sergei Bakarian, Kyeongeun Ko, R. Gronheid, Kaushik Sah, A. Cross, Yi Liu, A. Vaglio Pret, Chris Walker, V. Tolani, George Hwa, Peter Hu, Chang Song, Alexei Y. Arkhipov, L. Bouckou, Chi-Ping Liu, Xiaochun Yang, Kana Ohara, Donghwan Son
{"title":"Rigorous 3D probabilistic computational lithography and chip-level inspection for EUV stochastic failure detection","authors":"Eunju Kim, Wooseok Kim, Jonggwan Lee, Seongjong Kim, Sukyong Lee, Nohong Kwak, Mincheol Kang, Yongchul Jeong, Myungsoo Hwang, Chang-Min Park, Kyoil Koo, Seongtae Jeong, J. Biafore, Mark D. Smith, Trey Graves, Anatoly Burov, P. Vukkadala, Guy M. Parsey, Cao Zhang, Kunlun Bai, J. Krek, Craig D. Higgins, Sergei Bakarian, Kyeongeun Ko, R. Gronheid, Kaushik Sah, A. Cross, Yi Liu, A. Vaglio Pret, Chris Walker, V. Tolani, George Hwa, Peter Hu, Chang Song, Alexei Y. Arkhipov, L. Bouckou, Chi-Ping Liu, Xiaochun Yang, Kana Ohara, Donghwan Son","doi":"10.1117/12.2687373","DOIUrl":"https://doi.org/10.1117/12.2687373","url":null,"abstract":"Background: Natural physical phenomena occurring at length scales of a few nm produces variation in many aspects of the EUV photoresist relief image: edge roughness, width roughness, feature-tofeature variability, etc. 1,2,3,4. But the most damaging of these variations are stochastic or probabilistic printing failures 5, 6. Stochastic or probabilistic failures are highly random with respect to count and location and occur on wafers at spectra of unknown frequencies. Examples of these are space bridging, line breaking, missing and merging holes. Each has potential to damage or destroy the device, reducing yield 6, 10. Each has potential to damage or destroy the device, reducing yield 6, 10. The phenomena likely originates during exposure where quantized light and matter interact1 . EUV lithography is especially problematic since the uncertainty of energy absorbed by a volume of resist is much greater at 13.5 nm vs. 248 nm and 193 nm. Methods: In this paper, we use highly accelerated rigorous 3D probabilistic computational lithography and inspection to scan an entire EUV advanced node layout, predicting the location, type and probability of stochastic printing failures.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"26 4","pages":"127500C - 127500C-13"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139252304","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Role of resist components in electron emission and capture 抗蚀剂成分在电子发射和捕获中的作用
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2687332
Oleg Kostko, Terry R. McAfee, Patrick P. Naulleau
{"title":"Role of resist components in electron emission and capture","authors":"Oleg Kostko, Terry R. McAfee, Patrick P. Naulleau","doi":"10.1117/12.2687332","DOIUrl":"https://doi.org/10.1117/12.2687332","url":null,"abstract":"In extreme ultraviolet (EUV) resists, due to the high energy of the incident photons, most of the radiation chemistry arises from the emitted electrons and not the EUV photons themselves. The absorption of an EUV photon by a resist film leads to the emission of primary electrons, which, through a cascade of inelastic scattering events, cause excitation of molecules and emission of secondary electrons. Because the electrons are playing a leading role in EUV patterning by initiating the majority of chemical transformations, it is important to characterize their generation, transport, and energy distribution. In this work we investigate the emission of electrons in model EUV photoresists using photoelectron spectroscopy. The photoelectron spectroscopy reveals variations in the energy distributions and abundances of emitted electrons for different resist compositions (bare polymer, PAG, and quencher concentrations). EUV exposure of resists induces a change of the electron emission spectra, mostly affecting emission of slow secondary electrons. By applying this approach to each resist component, we begin to decipher the role of resist components in electron generation, capture, and transmission.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"11 1","pages":"127500K - 127500K-6"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139251577","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Validation of imaging benefits of dual monopole exposures 验证双单极曝光的成像优势
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2685543
Timothy A. Brunner, J. Franke, Vincent Truffert, P. de Bisschop, G. Rispens, Edouard Duriau, Andre van Dijk, Cyrus E. Tabery, David Rio, Etienne P. De Poortere, M. A. van de Kerkhof, Eric Hendrickx
{"title":"Validation of imaging benefits of dual monopole exposures","authors":"Timothy A. Brunner, J. Franke, Vincent Truffert, P. de Bisschop, G. Rispens, Edouard Duriau, Andre van Dijk, Cyrus E. Tabery, David Rio, Etienne P. De Poortere, M. A. van de Kerkhof, Eric Hendrickx","doi":"10.1117/12.2685543","DOIUrl":"https://doi.org/10.1117/12.2685543","url":null,"abstract":"Dual monopole exposure has been proposed1 as a way to improve imaging performance in EUV lithography by reducing the loss of contrast from image fading caused by the image shifts for the two poles of a dipole source. Simulations showed that the imaging advantages can be significant, with more than 15% image contrast improvements predicted. This paper presents experimental wafer data to demonstrate and verify the predicted advantages. The observed imaging enhancements include: • 18% better NILS (Normalized Image Log-Slope) for 28nm pitch patterns. • Better tip-to-tip patterns enabling gaps as much as 3nm smaller than normal patterning. Tip-to-tip LCDU and exposure latitude were improved at the same time, for better overall T2T capability. • Best focus offsets between three pitches: P28, P56 and P96 was reduced from 30nm range to nearly zero. • Smaller LWR (Line-Width Roughness), as much as 20% depending on pitch. • Reduced micro-bridging defects in the “stochastic cliffs” of narrow trenches, as large as a 40X defect density reduction for narrow trenches with 82nm pitch. Our experimental results validate the substantial imaging advantages seen by initial simulations. In addition to verifying dual monopole imaging advantages, our experiments have measured the pole-to-pole image shift δxP2P, an important parameter relating to image contrast which has never been measured before. This important parameter depends on the detailed mask structure as well as the specific shape and location of the source poles. Our measured δxP2P was consistent with simulated expectations.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"75 1","pages":"1275006 - 1275006-9"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139251821","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Analysis of dissolution modes of partially protected poly(4-hydroxystyrene) in tetraalkylammonium hydroxide aqueous solutions using decision trees and support vector machine 利用决策树和支持向量机分析部分保护聚(4-羟基苯乙烯)在四烷基氢氧化铵水溶液中的溶解模式
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2687340
Hitomi Betsumiya, Yuqing Jin, Yuko Tsutsui Ito, Takahiro Kozawa, Kazuo Sakamoto, M. Muramatsu
{"title":"Analysis of dissolution modes of partially protected poly(4-hydroxystyrene) in tetraalkylammonium hydroxide aqueous solutions using decision trees and support vector machine","authors":"Hitomi Betsumiya, Yuqing Jin, Yuko Tsutsui Ito, Takahiro Kozawa, Kazuo Sakamoto, M. Muramatsu","doi":"10.1117/12.2687340","DOIUrl":"https://doi.org/10.1117/12.2687340","url":null,"abstract":"To investigate the development kinetics, this study categorized the dissolution dynamics in tetraalkylammonium hydroxide (TAAH) aqueous solutions into six classes based on frequency and impedance variations during the development process using quartz crystal microbalance (QCM) measurements. These classifications were examined against various material attributes via decision trees and support vector machine (SVM) models. The feature values included in this analysis comprised the length of alkyl chains, molecular weight, solute concentration, viscosity of developers, protection ratios, molar masses, contact angles, and surface free energy of polymer. Accuracy for the test dataset was approximately 0.80 and 0.75 for the decision trees and SVM, respectively, when validated.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"33 19","pages":"127500J - 127500J-2"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139251874","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Optimized test pattern selection with machine learning method 利用机器学习方法优化测试模式选择
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2685435
Peng Xu, Juan Wei, Jingkang Qin, Jinlai Liu, Guangyu Sun, Song Sun, Cuixiang Wang, Qingchen Cao, Jiangliu Shi
{"title":"Optimized test pattern selection with machine learning method","authors":"Peng Xu, Juan Wei, Jingkang Qin, Jinlai Liu, Guangyu Sun, Song Sun, Cuixiang Wang, Qingchen Cao, Jiangliu Shi","doi":"10.1117/12.2685435","DOIUrl":"https://doi.org/10.1117/12.2685435","url":null,"abstract":"The technology node shrinks years after years. To guarantee the functionality and yield of IC production, the resolution enhancement technology becomes more and more important. Both optical proximity correction and inverse lithography technique need a precisely calibrated lithographic model. A mask of test patterns needs to be prepared and the lithographic experiment has to be done with it to obtain the CD SEM data for the model fitting. It is beneficial to select the test pattern efficiently. Fewer number of test patterns should be selected without compromising their coverage capability and the accuracy of the lithographic model. We present a machine learning method based on the convolutional autoencoder and core set selection method to achieve above goal. We optimize the existing test pattern mask by selecting parts of gauges out. The OPC models calibrated with the selected data are compared with the models calibrated with original test patterns to evaluate our method.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"63 3","pages":"1275113 - 1275113-6"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139253417","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Use of advanced data modeling to introduce and extend mask tools serving mainstream application 使用先进的数据建模来引入和扩展为主流应用服务的掩码工具
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2688168
Mohamed Ramadan, Christopher J. Progler, Michael Green, Henry H. Kamberian, Jinju Beineke
{"title":"Use of advanced data modeling to introduce and extend mask tools serving mainstream application","authors":"Mohamed Ramadan, Christopher J. Progler, Michael Green, Henry H. Kamberian, Jinju Beineke","doi":"10.1117/12.2688168","DOIUrl":"https://doi.org/10.1117/12.2688168","url":null,"abstract":"Advances in technologies such as 5G, internet of things (IoT), automotive and medical devices, to name a few, have created a new demand for mature node IC devices. This, in turn, has accelerated the introduction of new mature node designs and raised the demand for photomasks serving these products. Manufacturing mature design node ICs and photomasks requires high volume, high yield, quick turn and price sensitive processes. For example, laser writers can be the preferred photomask patterning solution for those applications as they are fast and cost efficient compared to ebeam writers. In order to address the market and the expanding design variety (e.g., curvilinear shapes, AI generated designs, chiplets) of mature node applications, we have developed a suite of capabilities leveraging advanced data modeling that helps deliver efficient and reliable production of mature node photomasks. Examples include inter-tool and inter-site process matching to scale mature production and address tool end of life challenges. Adapting novel design styles to higher productivity, cost effective platforms such as laser writing is also an area of emphasis. We present various cases where our model driven data techniques have impacted mature node productivity and resiliency by addressing the increasing unit demand and design complexity. In addition, we highlight elements of characterization, model building and deployment within these mature node data solutions.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"28 2","pages":"127510I - 127510I-6"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139250889","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Stitching for high-NA: zooming in on CDU budget 缝合高NA:放大基民盟预算
Photomask Technology Pub Date : 2023-11-21 DOI: 10.1117/12.2687703
N. Davydova, L. van Look, Ataklti I. Weldeslassie, Vincent I. Wiaux, Laura Huddleston, Bram Slachter, Nick I. Pellens, Frank Timmermans, F. Wittebrood, E. van Setten, Daniel Wilson
{"title":"Stitching for high-NA: zooming in on CDU budget","authors":"N. Davydova, L. van Look, Ataklti I. Weldeslassie, Vincent I. Wiaux, Laura Huddleston, Bram Slachter, Nick I. Pellens, Frank Timmermans, F. Wittebrood, E. van Setten, Daniel Wilson","doi":"10.1117/12.2687703","DOIUrl":"https://doi.org/10.1117/12.2687703","url":null,"abstract":"In this publication, we consider stitching enablement for High NA EUVL, specifically ‘zooming in’ on vertical line stitching used to create a physical connection between fields on wafer. We discuss stitching CD metrology and analysis using experimental and simulation results for pitch 36 nm dense lines. Experimental results were obtained on the NXE:3400B scanner at imec. CD uniformity across wafer and through slit are investigated as well as the impact from image to image overlap variation and the contribution of reticle CD errors and mask 3D shadowing. In the previous publications, we gave an overview of stitching challenges and various interactions in the stitching zone. In this publication, we focus on the aerial image interaction. Along a stitched vertical line, there are variations in CD creating a certain CD profile. These CD variations were modeled in a rigorous simulator but also observed experimentally. In order to characterize this behavior, we perform CD profile metrology at the stitch. We investigate the root causes of CD variability at the stitch and propose control mechanisms of stitching optimization. A key control mechanism being optical proximity correction (OPC) as well as overlay control.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"66 1","pages":"1275002 - 1275002-14"},"PeriodicalIF":0.0,"publicationDate":"2023-11-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139251518","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Performance of a variable shaped vector scan e-beam system for maskmaking 用于制作光罩的可变形状矢量扫描电子束系统的性能
Photomask Technology Pub Date : 2023-10-12 DOI: 10.1117/12.3011926
N. Goto, T. Yuasa, M. H. Shearer
{"title":"Performance of a variable shaped vector scan e-beam system for maskmaking","authors":"N. Goto, T. Yuasa, M. H. Shearer","doi":"10.1117/12.3011926","DOIUrl":"https://doi.org/10.1117/12.3011926","url":null,"abstract":"Electron Beam Lithography has emerged as the predominant tool for high accuracy maskmaking. Two basic types of systems have been developed over the past twenty years for maskmaking operation: Raster scan - spot beam systems such as the MEBES system manufactured by Perkin-Elmer and vector scan shaped beam systems such as the internally used EL series developed by IBM or the JBX-6 series produced by JEOL. In the United States the MEBES system clearly has been the more widely used type for maskmaking. Whereas in Japan, both types of systems are used extensively. Traditionally, the major concern regarding the use of a shaped beam system has been the large volume of pattern data created in transforming CAD data to the E-Beam Scanner format. This problem resulted in extremely long conversion times and because of the large amount of pattern data, long transfer times limited the acceptance of these systems. If these problems could be overcome, the machine accuracies and throughput speeds of the two types should be equal and in some cases the shaped beam vector scan system exceed the performance of a raster scan system for reticle generation. The remainder of this paper will describe improvements made in the JEOL system whereby pattern data is translated into machine format by hardware at the time of write as opposed to preprocessing of the data in software. The resultant improvements in system performance will be described and compared to a raster scan system.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"37 3","pages":"1281103 - 1281103-33"},"PeriodicalIF":0.0,"publicationDate":"2023-10-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139150441","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
The effective use of AZ1350J as an electron beam resist 有效利用 AZ1350J 作为电子束抗蚀剂
Photomask Technology Pub Date : 2023-10-12 DOI: 10.1117/12.3011921
Bruce C. Prothro
{"title":"The effective use of AZ1350J as an electron beam resist","authors":"Bruce C. Prothro","doi":"10.1117/12.3011921","DOIUrl":"https://doi.org/10.1117/12.3011921","url":null,"abstract":"The objective of this paper is to present an analysis of the use of A21350J as an electron beam resist and the advantages this process technology offers the mask maker in the fabrication of chrome photomasks. The immediate advantage of using A71350J is that it makes use of current processing technologies. The processing of diazo-type resists can be done in two simple, steps: develop and etch. This is a predictable process with repeatable results that does not require any develop cycle endpoint determination. The elimination of the endpoint determination has further advantages in that it eliminates any source of process induced defects as a results of excess handling. The use of AZ resists with an electron beam exposure system results in improved edge quality that can be reproduced in all feature sizes including submicron linewidths. The AZ resist process is compatible with plasma etching. Additional advantages of an AZ process are the uniform critical dimensions, low chrome defect densities, low pinhole densities, and the reproducibility of results. Submicron linewidths can also he resolved with excellent uniformity and repeatability. The low defect densities result in the minimization of the time required for inspection and repair. Further advantages of an AZ process that will be discussed include its wide application, the need for less capital investment than other processes, and its compatibility with FPA standards without requiring any special handling.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"25 1","pages":"128100A - 128100A-12"},"PeriodicalIF":0.0,"publicationDate":"2023-10-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139320008","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Data compaction for database inspection 用于数据库检查的数据压缩
Photomask Technology Pub Date : 2023-10-12 DOI: 10.1117/12.3011930
Bill Robertson
{"title":"Data compaction for database inspection","authors":"Bill Robertson","doi":"10.1117/12.3011930","DOIUrl":"https://doi.org/10.1117/12.3011930","url":null,"abstract":"Over the years, integrated circuit complexity has increased rapidly, particularly in circuits with high internal repetition, such as memory devices. With increasing circuit complexity comes an increase in the size of the database required to describe, write, and inspect these circuits, whether on the mask, reticle, wafer, or wafer substitute. This increased database size has dramatically increased the processing time required for the data conversion databases have effectively managed the database size problem in the design community and E-beam writing systems offer either data compaction or job deck compaction as well. Until now, database inspection systems have lagged behind design and writing systems in their ability to handle large databases of repetitive information. This paper describes a solution to this problem and reports on its effectiveness for reducing data conversion time and inspection database size for a variety of real IC databases.","PeriodicalId":235881,"journal":{"name":"Photomask Technology","volume":"83 7","pages":"1281107 - 1281107-32"},"PeriodicalIF":0.0,"publicationDate":"2023-10-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139151836","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:604180095
Book学术官方微信