Journal of Micro/Nanolithography, MEMS, and MOEMS最新文献

筛选
英文 中文
High-power EUV lithography: spectral purity and imaging performance 高功率EUV光刻:光谱纯度和成像性能
IF 2.3 2区 物理与天体物理
Journal of Micro/Nanolithography, MEMS, and MOEMS Pub Date : 2020-07-01 DOI: 10.1117/1.JMM.19.3.033801
M. A. van de Kerkhof, Fei Liu, M. Meeuwissen, Xueqing Zhang, M. Bayraktar, R. de Kruif, N. Davydova
{"title":"High-power EUV lithography: spectral purity and imaging performance","authors":"M. A. van de Kerkhof, Fei Liu, M. Meeuwissen, Xueqing Zhang, M. Bayraktar, R. de Kruif, N. Davydova","doi":"10.1117/1.JMM.19.3.033801","DOIUrl":"https://doi.org/10.1117/1.JMM.19.3.033801","url":null,"abstract":"Abstract. With the introduction of the NXE:3400B scanner, ASML has brought extreme ultraviolet lithography (EUV) to high-volume manufacturing (HVM). The high-EUV power of >200  W being realized with this system satisfies the throughput requirements of HVM, but also requires reconsideration of the imaging aspects of spectral purity, both from the details of the EUV emission spectrum and from the deep-ultraviolet (DUV) emission. We present simulation and experimental results for the spectral purity of high-power EUV systems and the imaging impact of this, both for the case of with and without a pellicle. Also, possible controls for spectral purity will be discussed, and an innovative method will be described to measure imaging impact of varying conversion efficiency (CE) and DUV. It will be shown that CE optimization toward higher source power leads to reduction in relative DUV content, and the small deltas in EUV source spectrum for higher power do not influence imaging. It will also be shown that resulting variations in DUV do not affect imaging performance significantly, provided that a suitable reticle black border is used. In summary, spectral purity performance is found to enable current and upcoming nodes of EUV lithography and to not be a bottleneck for further increasing power of EUV systems to well above 250 W.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":null,"pages":null},"PeriodicalIF":2.3,"publicationDate":"2020-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73226339","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
Investigating extreme ultraviolet radiation chemistry with first-principles quantum chemistry calculations 用第一性原理量子化学计算研究极紫外辐射化学
IF 2.3 2区 物理与天体物理
Journal of Micro/Nanolithography, MEMS, and MOEMS Pub Date : 2020-07-01 DOI: 10.1117/1.JMM.19.3.034601
Jonathan H. Ma, Han Wang, D. Prendergast, A. Neureuther, P. Naulleau
{"title":"Investigating extreme ultraviolet radiation chemistry with first-principles quantum chemistry calculations","authors":"Jonathan H. Ma, Han Wang, D. Prendergast, A. Neureuther, P. Naulleau","doi":"10.1117/1.JMM.19.3.034601","DOIUrl":"https://doi.org/10.1117/1.JMM.19.3.034601","url":null,"abstract":"Abstract. In extreme ultraviolet (EUV) lithography, chemistry is driven by secondary electrons. A deeper understanding of these processes is needed. However, electron-driven processes are inherently difficult to experimentally characterize for EUV materials, impeding targeted material engineering. A computational framework is needed to provide information for rational material engineering and identification at a molecular level. We demonstrate that density functional theory calculations can fulfill this purpose. We first demonstrate that primary electron energy spectrum can be predicted accurately. Second, the dynamics of a photoacid generator upon excitation or electron attachment are studied with ab-initio molecular dynamics calculations. Third, we demonstrate that electron attachment affinity is a good predictor of reduction potential and dose to clear. The correlation between such calculations and experiments suggests that these methods can be applied to computationally screen and design molecular components of EUV material and speed up the development process.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":null,"pages":null},"PeriodicalIF":2.3,"publicationDate":"2020-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79044274","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Construction of complex logic circuit based on nanoparticles 基于纳米粒子的复杂逻辑电路的构建
IF 2.3 2区 物理与天体物理
Journal of Micro/Nanolithography, MEMS, and MOEMS Pub Date : 2020-07-01 DOI: 10.1117/1.JMM.19.3.034801
Zhao Chen, Zhixiang Yin, Zhen Tang, Qiang Zhang
{"title":"Construction of complex logic circuit based on nanoparticles","authors":"Zhao Chen, Zhixiang Yin, Zhen Tang, Qiang Zhang","doi":"10.1117/1.JMM.19.3.034801","DOIUrl":"https://doi.org/10.1117/1.JMM.19.3.034801","url":null,"abstract":"Abstract. Background: Molecular logic circuits have great potential applications. DNA logic circuit is an important research direction of DNA computing in nanotechnology. DNA self-assembly has become a powerful tool for building nanoscale structures. The combination of different self-assembly methods is an interesting topic. Aim: Two different self-assembly methods are combined to realize large-scale logic circuit. A basic logical unit is extended to complex logic circuits by self-assembly. Approach: The complex logic circuit is solved by combining nanoparticles. One DNA strand attached to nanoparticle maps to a logical unit. Just as the combination between logical units can form logic circuits, the combination between nanoparticles can be used to structure logic circuits. On a larger-scale logic circuits, this is done by attaching the assembled nanoparticles to an origami template. Different logical values are mapped into different DNA initiators. Results: After the reaction is over, the nanoparticles are dynamically separated from the DNA origami template, indicating that the result is true. The nanoparticles remain on the DNA origami template, indicating that the result is false. The simulation results show that this self-assembly model is highly feasible for complex logic circuits. Conclusions: The model combines two different self-assembly methods to realize large-scale logic circuits. Compared with previous models, this model implements a larger logic circuit on one origami template. This method can be used to construct more complex nanosystems and may have potential applications in molecular engineering.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":null,"pages":null},"PeriodicalIF":2.3,"publicationDate":"2020-07-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85201921","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Journal Split Will Refocus Technical Communities 期刊拆分将重新聚焦技术社区
IF 2.3 2区 物理与天体物理
Journal of Micro/Nanolithography, MEMS, and MOEMS Pub Date : 2020-05-19 DOI: 10.1117/1.jmm.19.2.020101
H. Levinson, H. Zappe
{"title":"Journal Split Will Refocus Technical Communities","authors":"H. Levinson, H. Zappe","doi":"10.1117/1.jmm.19.2.020101","DOIUrl":"https://doi.org/10.1117/1.jmm.19.2.020101","url":null,"abstract":"","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":null,"pages":null},"PeriodicalIF":2.3,"publicationDate":"2020-05-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82665725","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Cascade and cluster of correlated reactions as causes of stochastic defects in extreme ultraviolet lithography 极紫外光刻中引起随机缺陷的级联和簇状相关反应
IF 2.3 2区 物理与天体物理
Journal of Micro/Nanolithography, MEMS, and MOEMS Pub Date : 2020-04-01 DOI: 10.1117/1.JMM.19.2.024601
H. Fukuda
{"title":"Cascade and cluster of correlated reactions as causes of stochastic defects in extreme ultraviolet lithography","authors":"H. Fukuda","doi":"10.1117/1.JMM.19.2.024601","DOIUrl":"https://doi.org/10.1117/1.JMM.19.2.024601","url":null,"abstract":"Abstract. Background: Stochastic defects are becoming major concern in the future extreme ultraviolet (EUV) lithography as their probability Pd exponentially increases with decreasing feature size and is highly sensitive to variations in process/mask conditions. Photon shot-noise and discrete/probabilistic nature of materials have been blamed as their causes. Aim: We introduce models for relating Pd to photon and resist statistics under various exposures and material conditions and analyze their impact in future EUV lithography. Approach: Three-dimensional reaction distribution is calculated by a fully coupled Monte Carlo simulation including discrete photon, photoelectron scattering, and resist stochastics. Then probability models predict Pd from statistical data extracted from Monte Carlo results. Results: Stochastic defect generation is enhanced by cascade and/or cluster of correlated reactions among nearby polymers/molecules due to secondary electrons (SE)/acid diffusion and SEs generated along scattered photoelectron trajectories. Pd decreases with increasing reaction density, suppressing effective image blur, and introducing quenchers, where reaction density is limited by SE, photoacid generator, and reaction site. Defect probability increases with decreasing target size for the same k1-factor, while strongly dependent on image slope and defocus. Conclusions: Our analyses suggest that applying EUV lithography to smaller target requires careful material choice, extremely precise process control, and further EUV power enhancement.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":null,"pages":null},"PeriodicalIF":2.3,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83242228","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Efficient Bayesian inversion for shape reconstruction of lithography masks 光刻掩模形状重建的高效贝叶斯反演
IF 2.3 2区 物理与天体物理
Journal of Micro/Nanolithography, MEMS, and MOEMS Pub Date : 2020-04-01 DOI: 10.1117/1.JMM.19.2.024001
N. Farchmin, M. Hammerschmidt, Philipp‐Immanuel Schneider, M. Wurm, B. Bodermann, M. Bär, S. Heidenreich
{"title":"Efficient Bayesian inversion for shape reconstruction of lithography masks","authors":"N. Farchmin, M. Hammerschmidt, Philipp‐Immanuel Schneider, M. Wurm, B. Bodermann, M. Bär, S. Heidenreich","doi":"10.1117/1.JMM.19.2.024001","DOIUrl":"https://doi.org/10.1117/1.JMM.19.2.024001","url":null,"abstract":"Abstract. Background: Scatterometry is a fast, indirect, and nondestructive optical method for quality control in the production of lithography masks. To solve the inverse problem in compliance with the upcoming need for improved accuracy, a computationally expensive forward model that maps geometry parameters to diffracted light intensities has to be defined. Aim: To quantify the uncertainties in the reconstruction of the geometry parameters, a fast-to-evaluate surrogate for the forward model has to be introduced. Approach: We use a nonintrusive polynomial chaos-based approximation of the forward model, which increases speed and thus enables the exploration of the posterior through direct Bayesian inference. In addition, this surrogate allows for a global sensitivity analysis at no additional computational overhead. Results: This approach yields information about the complete distribution of the geometry parameters of a silicon line grating, which in return allows for quantifying the reconstruction uncertainties in the form of means, variances, and higher order moments of the parameters. Conclusions: The use of a polynomial chaos surrogate allows for quantifying both parameter influences and reconstruction uncertainties. This approach is easy to use since no adaptation of the expensive forward model is required.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":null,"pages":null},"PeriodicalIF":2.3,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91261108","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
High-voltage CD-SEM-based application to monitor 3D profile of high-aspect-ratio features 基于高压cd - sem的应用程序,用于监测高纵横比特征的3D轮廓
IF 2.3 2区 物理与天体物理
Journal of Micro/Nanolithography, MEMS, and MOEMS Pub Date : 2020-04-01 DOI: 10.1117/1.JMM.19.2.024002
Wei Sun, H. Ohta, T. Ninomiya, Y. Goto
{"title":"High-voltage CD-SEM-based application to monitor 3D profile of high-aspect-ratio features","authors":"Wei Sun, H. Ohta, T. Ninomiya, Y. Goto","doi":"10.1117/1.JMM.19.2.024002","DOIUrl":"https://doi.org/10.1117/1.JMM.19.2.024002","url":null,"abstract":"Abstract. Background: In-line metrology for three-dimensional (3D) profiling high-aspect-ratio (HAR) features is highly important for manufacturing semiconductor devices, particularly for memory devices, such as 3D NAND and DRAM. Aim: Our purpose was to obtain the cross-sectional profiles of the HAR features from top-view critical dimension scanning electron microscopy (CD-SEM) images. Approach: Based on Monte Carlo simulation results, we proposed a method for 3D profiling of HAR features using backscattered electron (BSE) signal intensities. Several kinds of HAR holes with different taper angles and bowing geometries were fabricated. High-voltage CD-SEM was used for experiments to determine the feasibility of our approach. Results: Using the BSE line-profile, we constructed cross sections of the taper holes and estimated sidewall angles (SWAs), which were approximately the same as those observed using field-emission scanning electron microscopy (FE-SEM). The constructed cross sections of the bowing holes and the trends of the geometric variance, which were estimated by the middle CD and its depth, were consistent with the cross sections observed by FE-SEM. Conclusions: The results demonstrate that the variation in the HAR holes, such as SWA and bowing geometry, can be measured and monitored using the BSE images.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":null,"pages":null},"PeriodicalIF":2.3,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85569969","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Mask-absorber optimization: the next phase 掩膜吸收器优化:下一阶段
IF 2.3 2区 物理与天体物理
Journal of Micro/Nanolithography, MEMS, and MOEMS Pub Date : 2020-04-01 DOI: 10.1117/1.JMM.19.2.024401
C. van Lare, F. Timmermans, J. Finders
{"title":"Mask-absorber optimization: the next phase","authors":"C. van Lare, F. Timmermans, J. Finders","doi":"10.1117/1.JMM.19.2.024401","DOIUrl":"https://doi.org/10.1117/1.JMM.19.2.024401","url":null,"abstract":"Abstract. We continue our work on the physics of mask-topography-induced phase effects in imaging using extreme ultraviolet (EUV) lithography, and specifically how these effects can be mitigated by alternative mask absorbers. We present a semianalytical model to calculate the mask-topography-induced phase offset and study its trend throughout the entire material space at 13.5-nm wavelength. We demonstrate that the model is in good agreement with 3D rigorous simulations. Using the model, we explain why the previously demonstrated phase shift close to 1.2π works optimally for EUV imaging. We show a low refractive index mask absorber (n  <  0.91) is crucial for good mask 3D mitigation. We demonstrate the importance of mask bias and incident angle for imaging with an optimized attenuated phase-shift mask (PSM), which makes good source-mask optimization indispensable. We present the lithographic performance of alternative mask absorbers including a high-k mask, and a low- and high-transmission attenuated PSM for a few basic use cases, confirming the lithographic gain that can be obtained by mask-absorber optimization.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":null,"pages":null},"PeriodicalIF":2.3,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79589070","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 27
Thermoplastic polyurethane-based flexible multilayer microfluidic devices 基于热塑性聚氨酯的柔性多层微流控装置
IF 2.3 2区 物理与天体物理
Journal of Micro/Nanolithography, MEMS, and MOEMS Pub Date : 2020-04-01 DOI: 10.1117/1.JMM.19.2.024501
Yiqiang Fan, Lei-Ti Huang, Rubing Cui, Xuance Zhou, Yajun Zhang
{"title":"Thermoplastic polyurethane-based flexible multilayer microfluidic devices","authors":"Yiqiang Fan, Lei-Ti Huang, Rubing Cui, Xuance Zhou, Yajun Zhang","doi":"10.1117/1.JMM.19.2.024501","DOIUrl":"https://doi.org/10.1117/1.JMM.19.2.024501","url":null,"abstract":"Abstract Background: Microfluidics has been widely used in the biological and medical fields, and polymers are the most widely used materials in microfluidics at present due to their low cost and ease of processing. Both thermoplastics and thermosets were used as the bulk materials in microfluidics. The third option of a material with both advantages from thermoplastics and thermosets will be of great significance. Aim: We try to establish a low cost and rapid fabrication approach for thermoplastic polyurethane (TPU)-based microfluidics. Several demonstrations were also provided with the proposed fabrication method for TPU-based microfluidics. Approach: A CO2 laser ablation instrument was used for the fabrication of the TPU-based microfluidic devices. The width and depth of microchannels fabricated with various laser scan speeds and energies were studied in detail. For sealing the fabricated channels, a thermal fusion bonding method was also proposed with the bonding strength testing. Several types of the most commonly used microfluidic chips were fabricated for demonstration of the proposed fabrication method. Results: A comprehensive fabrication approach for TPU-based microfluidic devices was achieved. A series of microfluidic chips were designed, fabricated, and tested. Conclusions: TPU-based microfluidics is achievable and could be used as an alternative material for polydimethylsiloxane or thermoplastics for the fabrication of microfluidic devices. The proposed method could have broad potential applications in biological and chemical fields.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":null,"pages":null},"PeriodicalIF":2.3,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82405821","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Design and modeling of a highly sensitive microelectromechanical system capacitive microphone 高灵敏度微机电系统电容式传声器的设计与建模
IF 2.3 2区 物理与天体物理
Journal of Micro/Nanolithography, MEMS, and MOEMS Pub Date : 2020-04-01 DOI: 10.1117/1.JMM.19.2.025001
S. B. Sedaghat, B. Ganji
{"title":"Design and modeling of a highly sensitive microelectromechanical system capacitive microphone","authors":"S. B. Sedaghat, B. Ganji","doi":"10.1117/1.JMM.19.2.025001","DOIUrl":"https://doi.org/10.1117/1.JMM.19.2.025001","url":null,"abstract":"Abstract. A single-chip microelectromechanical system (MEMS) capacitive microphone is designed and modeled. The mechanical model of the structure is extracted and the mathematical equations for a description of the microphone behavior are obtained. Then the proposed microphone characteristics are considered. In this structure, by adding Z-shape arms around the diaphragm, diaphragm hardness is decreased and diaphragm displacement becomes uniform. The sensitivity and the pull-in voltage are improved despite the decreasing size. The perforated diaphragm of this microphone is supported by Z-shape arms at its four corners. These arms around the diaphragm decrease the stiffness and air damping of the microphone. The behavior of this microphone is also analyzed by the finite element method. The structure has a diaphragm thickness of 2  μm, a diaphragm size of 0.32  ×  0.32  mm2, an air gap of 2  μm, and a highly doped monocrystalline silicon wafer as a backplate. The proposed microphone is simulated with IntelliSuite software. According to the results, the new microphone has a sensitivity of 14.245  mV  /  Pa and a pull-in voltage of 5.83 V. The results show that the proposed MEMS capacitive microphone is one of the best structures in performance. The obtained mathematical equations for description of the microphone’s behavior have good agreement with the simulation results.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":null,"pages":null},"PeriodicalIF":2.3,"publicationDate":"2020-04-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88941111","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信