Advanced Lithography最新文献

筛选
英文 中文
Mean free path of electrons in EUV photoresist in the energy range 20 to 450 eV 能量范围为20 ~ 450 eV的EUV光刻胶中电子的平均自由程
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2658310
R. Fallica, N. Mahne, T. Conard, A. Vanleenhove, S. Nannarone
{"title":"Mean free path of electrons in EUV photoresist in the energy range 20 to 450 eV","authors":"R. Fallica, N. Mahne, T. Conard, A. Vanleenhove, S. Nannarone","doi":"10.1117/12.2658310","DOIUrl":"https://doi.org/10.1117/12.2658310","url":null,"abstract":"The blur caused by the nonzero mean free path of electrons in photoresist during extreme ultraviolet lithography has detrimental consequence on patterning resolution, but its effect is difficult to measure experimentally. In this work, a modified substrate-overlayer technique was used to evaluate the attenuation of the photoemission spectra produced in thin chemically amplified photoresist films. The inelastic mean free path of electrons was found to be between 1 to 2 nm in the entire range of interest for EUV lithography (20 to 100 eV kinetic energy). At higher kinetic energy, the mean free path increased consistently with well-known behavior. The presence of photoacid generator and quencher did not change the mean free path significantly (within experimental error).","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129558635","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Enhancing the sensitivity of a high resolution negative-tone metal organic photoresist for extreme ultra violet lithography 提高极紫外光刻用高分辨率负色调金属有机光刻胶的灵敏度
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2658324
S. Lewis, Hayden R. Alty, M. Vockenhuber, G. DeRose, D. Kazazis, G. Timco, James A. Mann, Paul L. Winpenny, A. Scherer, Y. Ekinci, R. Winpenny
{"title":"Enhancing the sensitivity of a high resolution negative-tone metal organic photoresist for extreme ultra violet lithography","authors":"S. Lewis, Hayden R. Alty, M. Vockenhuber, G. DeRose, D. Kazazis, G. Timco, James A. Mann, Paul L. Winpenny, A. Scherer, Y. Ekinci, R. Winpenny","doi":"10.1117/12.2658324","DOIUrl":"https://doi.org/10.1117/12.2658324","url":null,"abstract":"In this paper, we report on a novel metal organic photoresist based on heterometallic rings that was designed for electron beam and extreme ultraviolet lithography. From initial electron beam lithography studies, the resist performance demonstrated excellent resolution of 15 nm half-pitch (HP) and a silicon dry etch selectivity of 100:1 but at the expense of sensitivity. To improve sensitivity, a 3D Monte Carlo simulation was employed that utilizes a secondary electron generation model. The simulation suggested that the sensitivity could be dramatically improved while maintaining high resolution by incorporating HgCl2 species into the resist molecular design. This considerably improved the resist sensitivity without losing the high resolution, where it was determined that the resist sensitivity was increased by a factor of 1.6 and 1.94 while demonstrating a resolution of 15 nm and 16 nm HP when exposed with electrons and EUV radiation respectively. Using x-ray photoelectron spectroscopy measurements, we show that after exposure to the electron beam the resist materials are transformed into a metal oxyfluoride and this is why the resist demonstrates high resistance to silicon dry etch conditions achieving a selectivity of 60:1 at a resolution of 15 nm HP.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128908053","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Single-component silicon-based patterning materials for EUV lithography EUV光刻用单组分硅基图案化材料
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657889
J. Santillan, A. Konda, M. Shichiri, T. Itani
{"title":"Single-component silicon-based patterning materials for EUV lithography","authors":"J. Santillan, A. Konda, M. Shichiri, T. Itani","doi":"10.1117/12.2657889","DOIUrl":"https://doi.org/10.1117/12.2657889","url":null,"abstract":"A single-component silsesquioxane (SQ)-based material was developed and investigated for patterning in extreme ultraviolet (EUV) lithography. This negative-tone SQ-material is soluble in the industry standard aqueous alkali developer 2.38wt% tetramethylammonium hydroxide (TMAH). Early experiments using electron beam (EB) lithography showed pattern capability in resolving 18nm line patterns (exposure dose: 2000 μC/cm2). After numerous screening evaluations using EB lithography, one variant was selected for patterning evaluation with EUV lithography. Patterning resolution was confirmed at 19nm line patterns (exposure dose: 200 mJ/cm2), with evidence of pattern modulation down to 15nm. As revealed in these preliminary patterning investigations, low sensitivity is the obvious issue. To understand the reaction mechanisms of this SQ-based material, various analyses were also carried out. Results reveal the occurrence of direct photo-crosslinking (no main scission) of Si-O-Si bonds from the decomposition of Si-OH components on exposure, resulting in insolubility in the developer solution (negative tone). Lastly, to address the sensitivity issue, the application of what we refer to as “Sensitivity Enhancer Unit” was utilized. EB lithography results show an improvement in sensitivity indicating a possible solution to the low sensitivity issue. These results show the potential applicability of the single-component SQ-based patterning material for both EUV and EB lithography.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121022636","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
New functional surface treatment process and primers for high-NA EUV lithography 高na极紫外光刻新功能表面处理工艺及引物
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2659979
Wataru Shibayama, Shuhei Shigaki, S. Takeda, Kodai Kato, M. Nakajima, Rikimaru Sakamoto
{"title":"New functional surface treatment process and primers for high-NA EUV lithography","authors":"Wataru Shibayama, Shuhei Shigaki, S. Takeda, Kodai Kato, M. Nakajima, Rikimaru Sakamoto","doi":"10.1117/12.2659979","DOIUrl":"https://doi.org/10.1117/12.2659979","url":null,"abstract":"For EUV high NA lithography, current conventional tri-layer procss has the critical issue both for EUV litho performance & pattern etch transfer. Especially since the latest EUV PR including CAR and MOR is very low film thickness around 10nm, Si containing hard mask (Si-HM) should be around 5nm. In this case, it is too difficult to transfer to SOC and the bottom hard mask layer. In order to prevent this critical issue, we proose new functional surface treatment process and primers (FSTP) on the conventional CVD hard mask or Si-HM. This FSTP is spin coating materials. However it is almost sigle molecular type ultra thin primer (~1nm) for all of the CVD & spin on hard mask (SiON, SiN, TiN, SiO2, SiHM, SOG and so on) not to bother fine pitch pattern transfer. Moreover, this FSTP has high universalithy to EUV PR CAR and MOR to achieve high patterning performance in EUVL. Therefore FSTP has big advantage in EUV litho process and pattern etch transfer for next generation High NA EUV process.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134059798","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Reaction mechanisms and EB patterning evaluation of Sn-complex-side-chain polymer used for EUV lithography EUV光刻用sn络合侧链聚合物的反应机理及EB图化评价
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2670173
Yui Takata, Y. Muroya, T. Kozawa, S. Enomoto, B. Naqvi, D. De Simone
{"title":"Reaction mechanisms and EB patterning evaluation of Sn-complex-side-chain polymer used for EUV lithography","authors":"Yui Takata, Y. Muroya, T. Kozawa, S. Enomoto, B. Naqvi, D. De Simone","doi":"10.1117/12.2670173","DOIUrl":"https://doi.org/10.1117/12.2670173","url":null,"abstract":"Since 2019, the extreme ultraviolet lithography (EUVL) has been applied to the high-volume production of devices. For further scaling, high-numerical aperture (NA) tool and resist materials applicable to high-NA EUVL are required. However, there are no resists applicable to high-NA EUVL. These days, resist materials containing Sn whose EUV absorption cross section is particularly high are attracting much attention. In this research, radiation-induced reaction mechanisms of Sncomplex- side-chain polymers were investigated to obtain the guidelines of material design.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134512797","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Advances in low GWP etch gasses 低GWP腐蚀气体的研究进展
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2660136
C. Jennings, Phong Nguyen, Scott R Biltek, Nathan Stafford
{"title":"Advances in low GWP etch gasses","authors":"C. Jennings, Phong Nguyen, Scott R Biltek, Nathan Stafford","doi":"10.1117/12.2660136","DOIUrl":"https://doi.org/10.1117/12.2660136","url":null,"abstract":"Fluorinated species are ubiquitous in semiconductor manufacturing, yet are known to have global warming potentials thousands of times higher than CO2. As abatement technologies are not completely effective and add additional costs, interest in reducing these emissions increases with semiconductor manufacturing volumes. We explore alternative chemistries for common plasma etch applications that retain patterning performance but with near zero GWP. Spectroscopic identification and quantification of etch byproducts is presented to demonstrate the beneficial environmental impacts of transitioning from the most common etch gasses.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133191710","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Seamless micro and nanopatterned drum molds based on ultrasonic indentation 基于超声压痕的无缝微型和纳米图案鼓形模具
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2663867
S. Furst, Nichole Cates, Lauren Micklow
{"title":"Seamless micro and nanopatterned drum molds based on ultrasonic indentation","authors":"S. Furst, Nichole Cates, Lauren Micklow","doi":"10.1117/12.2663867","DOIUrl":"https://doi.org/10.1117/12.2663867","url":null,"abstract":"Roll-to-roll nanoimprint lithography offers a method to scale functional micro and nanopatterned surfaces for a wide array of applications. However, creating a sufficiently large, seamless drum mold is still often prohibitively expensive or impossible. This patterning becomes especially difficult when the periodicity of the pattern is smaller than half the wavelength of visible light, the limit for UV interference lithography. High-speed indentation via a novel process called “Nanocoining” has been demonstrated to overcome this issue. Thus far, Nanocoining has been used to create cylindrical molds up to 6.5 inches in diameter and 6 inches in length with a patterning rate of more than one square inch per minute. The process has been demonstrated with features between 250 nm and 5 μm in pitch (center-to-center distance) and aspect ratios (height:pitch) of up to 0.6, and the resulting molds have successfully embossed into more than 500 linear feet of film. In this proceeding, we will present the background and state of the art of this technology as well as recent efforts to control feature shape for applications like microlens arrays. We’ll also introduce new concepts, including using indentation to create seamless, cylindrical photomasks for roll-to-roll patterning of resists without the residual layer that is typically left behind by nanoimprint lithography.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133299427","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Molecular layer deposition of an Al-based hybrid resist for electron-beam and EUV lithography 电子束和极紫外光刻用铝基杂化抗蚀剂的分子层沉积
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657636
A. Ravi, Jingwei Shi, Jacqueline Lewis, S. Bent
{"title":"Molecular layer deposition of an Al-based hybrid resist for electron-beam and EUV lithography","authors":"A. Ravi, Jingwei Shi, Jacqueline Lewis, S. Bent","doi":"10.1117/12.2657636","DOIUrl":"https://doi.org/10.1117/12.2657636","url":null,"abstract":"As lithographic techniques advance in their capabilities of shrinking microelectronics devices, the need for improved resist materials, especially for extreme ultraviolet (EUV), has become increasingly pressing. In this work, we study the molecular layer deposition (MLD) of an Al-based hybrid thin film resist, known as “alucone,” extending our previous research that tested the Hf-based hybrid thin film “hafnicone” as an EUV resist. Alucone is grown at 100 ºC using the metal precursor trimethylaluminum and the organic precursor ethylene glycol. Like hafnicone, alucone behaves as a negative tone resist that can resolve 50-nm line widths, though preliminary data suggest that alucone’s line patterns are more sharply defined than those of hafnicone. Whereas hafnicone’s sensitivity is 400 μC/cm2 using 3 M HCl as the developer, alucone’s sensitivity is not yet as good (4800 μC/cm2 using 0.125 M HCl). Our study of alucone offers new insight into structural features of an MLD film that can lead to desired EUV-responsive behavior. This insight may accelerate the development of vapor-deposited inorganic resists for use in electron-beam and EUV lithography.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122434245","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Novel UPE filtration technology for advanced photolithography materials 用于先进光刻材料的新型UPE过滤技术
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2657483
Ryo Yokoyama, Akihito Ui, Christi A. Dawydiak, Vinay Kalyani
{"title":"Novel UPE filtration technology for advanced photolithography materials","authors":"Ryo Yokoyama, Akihito Ui, Christi A. Dawydiak, Vinay Kalyani","doi":"10.1117/12.2657483","DOIUrl":"https://doi.org/10.1117/12.2657483","url":null,"abstract":"High resolution, line edge roughness, and sensitivity are the key performance factors to accelerate EUV lithography into high volume manufacturing. EUV is still a developing technology with several intriguing components, such as high NA exposure system and metal oxide resist [1,2]. In terms of cleanliness, the photoresist (PR) and Spin-on carbons (SOC) in underlayers need to have the same level of cleanliness from defect sources to meet the yield targets in the successive photolithography process after exposure. Filtration technology to remove defect sources from raw materials are continuously evolving to adapt to unique behaviors and compatibility of EUV materials. UPE (ultrahigh molecular weight polyethylene) filtration is a critical technology to remove small particles consistently. In this study, UPE filter development is examined to meet the needs of EUV materials. The filter performance was evaluated with underlayer materials. A new design of UPE membrane morphology achieved significant improvements. The details of the extensive experimental result are discussed in the report.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128912560","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Advanced development methods for high-NA EUV lithography 高na极紫外光刻技术的先进开发方法
Advanced Lithography Pub Date : 2023-05-01 DOI: 10.1117/12.2655928
C. Dinh, S. Nagahara, Yuhei Kuwahara, Arnaud Dauendorffer, Soichiro Okada, S. Fujimoto, S. Kawakami, S. Shimura, M. Muramatsu, Kayoko Cho, Xiang Liu, K. Nafus, M. Carcasi, Ankur Agarwal, M. Somervell, L. Huli, Kanzo Kato, M. Kocsis, P. de Schepper, S. Meyers, Lauren McQuade, K. Kasahara, J. Garcia Santaclara, R. Hoefnagels, C. Anderson, P. Naulleau
{"title":"Advanced development methods for high-NA EUV lithography","authors":"C. Dinh, S. Nagahara, Yuhei Kuwahara, Arnaud Dauendorffer, Soichiro Okada, S. Fujimoto, S. Kawakami, S. Shimura, M. Muramatsu, Kayoko Cho, Xiang Liu, K. Nafus, M. Carcasi, Ankur Agarwal, M. Somervell, L. Huli, Kanzo Kato, M. Kocsis, P. de Schepper, S. Meyers, Lauren McQuade, K. Kasahara, J. Garcia Santaclara, R. Hoefnagels, C. Anderson, P. Naulleau","doi":"10.1117/12.2655928","DOIUrl":"https://doi.org/10.1117/12.2655928","url":null,"abstract":"One of the key steps in the pattern formation chain of (extreme ultraviolet) EUV lithography is the development process to resolve the resist pattern after EUV exposure. A simple traditional development process might not be sufficient to achieve the requirements of an ultra-high-resolution feature with low defect levels in high numerical aperture (NA) EUV lithography. In our previous literature, a new development method named ESPERTTM (Enhanced Sensitivity develoPER TechnologyTM) has been introduced to improve the performance of metal oxide resists (MOR) for 0.33 NA EUV lithography by breaking the dose-roughness trade-off. In this work, this development technique was optimised for high-NA lithography to not only keep the advantages of previous ESPERTTM version, but also reduce the defect levels at a higher EUV sensitivity. This is made possible thanks to the capability of the new version of ESPERTTM that can easily remove the residue (undeveloped resist) at low exposure dose area to enhance the developing contrast. Using 0.33 NA EUV scanners at imec on 16-nm half-pitch (HP) line/space (L/S) patterns, with the new development method, EUV dose-to-size (DtS) was reduced roughly 16%, and total after-development-inspection (ADI) defects was reduced by a factor of approximately 7, simultaneously. In another condition, DtS was reduced from 44.2 to 28.4 mJ/cm² (an improvement of 36%), while the number of after-etch-inspection (AEI) single-bridge defects was reduced by half, simultaneously. Using the 0.5 NA exposure tool at Lawrence Berkeley National Laboratory with this new development method, the exposure sensitivity and line-width-roughness (LWR) were both improved by 30% and 21%, respectively. An 8-nm-HP L/S pattern was also successfully printed by this high NA tool. Using a 150 kV electron-beam (EB) lithography system, a 12-nm-HP of pillars was successfully printed on a 22-nm-thick MOR resist with ESPERTTM. With all the advantages of having a high exposure sensitivity, a low defectivity, and an ultra-high-resolution capability, this new development method is expected to be a solution for high-NA EUV lithography.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128507893","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信