{"title":"Stability and scalability in global routing","authors":"Sung Kyu Han, Kwangok Jeong, A. Kahng, Jingwei Lu","doi":"10.1109/SLIP.2011.6135431","DOIUrl":"https://doi.org/10.1109/SLIP.2011.6135431","url":null,"abstract":"As the complexity of physical implementation continues to grow with technology scaling, routability has emerged as a major concern and implementation flow bottleneck. Infeasibility of routing forces a loop back to placement, netlist optimization, or even RTL design and floorplanning. Thus, to maintain convergence and a manageable number of iterations in the physical implementation flow, it is necessary to accurately predict design routability as quickly as possible. Routability estimation during placement typically exploits rough but fast global routers. Fast global routers are integrated with placers and are supposed to provide accurate congestion estimation for each iterative placement optimization, with short turn-around time. Such integrated global routers (as well as congestion estimators without global routers) should give (1) fast, and (2) stably accurate decisions as to whether a given placement is indeed routable. In this paper, we evaluate four academic global routers [14] [1] [9] [4] in terms of stability and scalability. We perturb global routing problem instances in controlled ways, and analyze the sensitivity of routing outcomes and metrics. We observe scaling suboptimality and substantial noise in most of our experiments; this suggests a future need for new global router criteria and metrics.","PeriodicalId":189723,"journal":{"name":"International Workshop on System Level Interconnect Prediction","volume":"22 7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-06-05","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126939234","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Distributed power network co-design with on-chip power supplies and decoupling capacitors","authors":"Selçuk Köse, E. Friedman","doi":"10.1109/SLIP.2011.6135434","DOIUrl":"https://doi.org/10.1109/SLIP.2011.6135434","url":null,"abstract":"With each technology generation, the power delivery network becomes larger and more complicated, making the system analysis process computationally complex. The rising number of on-chip power supplies and intentional decoupling capacitors inserted throughout an integrated circuit further complicates the analysis of the power distribution network. Interactions among the on-chip power supplies, decoupling capacitors, and load circuitry are investigated in this paper. The on-chip power supplies and decoupling capacitors within the power network are simultaneously co-designed and placed. The effect of physical distance on the power supply noise is investigated. This methodology changes conventional practices where the power distribution network is designed first, followed by the placement of the decoupling capacitors.","PeriodicalId":189723,"journal":{"name":"International Workshop on System Level Interconnect Prediction","volume":"20 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-06-05","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125648346","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Architecture and performance evaluation of 3D CMOS-NEM FPGA","authors":"Chen Dong, Chen Chen, S. Mitra, Deming Chen","doi":"10.1109/SLIP.2011.6135428","DOIUrl":"https://doi.org/10.1109/SLIP.2011.6135428","url":null,"abstract":"In this paper, we introduce a reconfigurable architecture, named 3D CMOS-NEM FPGA, which utilizes Nanoelectromechanical (NEM) relays and 3D integration techniques synergistically. Unique features of our architecture include: hybrid CMOS-NEM FPGA look-up tables (LUTs) and configurable logic blocks (CLBs), NEM-based switch blocks (SBs) and connection blocks (CBs), and face-to-face 3D stacking. This architecture also has a built-in feature named direct link which is dedicated local communication channel using the short vertical wire between the two stacks to further enhance performance. A customized 3D FPGA placement and routing flow has been developed. By replacing CMOS components with NEM relays, a 19.5% delay reduction can be achieved compared to the baseline 2D CMOS architecture. 3D stacking together with NEM devices achieves a 31.5% delay reduction over the baseline. The best performance of this architecture is achieved by adding direct links, which provides a 41.9% performance gain over the baseline.","PeriodicalId":189723,"journal":{"name":"International Workshop on System Level Interconnect Prediction","volume":"125 ","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-06-05","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120939293","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Impact of nano-scale through-silicon vias on the quality of today and future 3D IC designs","authors":"Daehyun Kim, Suyoun Kim, S. Lim","doi":"10.1109/SLIP.2011.6135435","DOIUrl":"https://doi.org/10.1109/SLIP.2011.6135435","url":null,"abstract":"One of the most effective ways to deal with the area and capacitance overhead issues with through-silicon vias (TSVs) in 3D ICs is to reduce the size of TSVs themselves. Today, the diameter of the smallest TSV available is around l(im, and this is expected to reach sub-micron dimensions in a few years. This downscaling of TSVs requires research on the impact of nano-scale TSVs on the quality of 3D IC designs to provide academia and industry with the quantified effects. In this paper, we investigate, for the first time, the impact of nano-scale TSVs on the area, wirelength, delay, and power quality of today and future 3D IC designs. For our future process technology, we develop a 22nm standard cell and interconnect library. We also use four sets of TSV-related dimensions in our GDSII-level 3D IC layouts. Based on these resources, we present a thorough study on the impact of nano-scale TSVs on the design quality of today and future 3D ICs.","PeriodicalId":189723,"journal":{"name":"International Workshop on System Level Interconnect Prediction","volume":"29 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-06-05","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126518294","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Toward PDN resource estimation: A law of general power density","authors":"Kwangok Jeong, A. Kahng","doi":"10.1109/SLIP.2011.6135432","DOIUrl":"https://doi.org/10.1109/SLIP.2011.6135432","url":null,"abstract":"The power distribution network (PDN) is an increasingly significant consumer of on-chip interconnect resources. Thus, PDN estimation is increasingly central to system-level interconnect prediction for modern ICs. PDN design and verification require accurate power estimation and realistic current source distribution across a die. However, at early design stages, detailed placement or switching information is rarely available, so that designers either rely on pessimistic overdesign, which can lead to severe routing congestion, or encounter unexpected voltage noise problems at late design stages, which can lead to costly design iterations. In this work, we seek to identify a general trend for power density. From both empirical and analytical studies on random activity distributions, we propose a power law of activity density, which can potentially enable estimates of power density and voltage noise, as well as of required power distribution network (PDN) resources, in early design stages.","PeriodicalId":189723,"journal":{"name":"International Workshop on System Level Interconnect Prediction","volume":"10 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-06-05","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123394383","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Interface optimization for improved routability in chip-package-board co-design","authors":"T. Meister, J. Lienig, Gisbert Thomke","doi":"10.1109/SLIP.2011.6135430","DOIUrl":"https://doi.org/10.1109/SLIP.2011.6135430","url":null,"abstract":"The simultaneous optimization of both pin assignment and pin routing for different hierarchy levels (chip, package, board) of an electronic system is a bottleneck in today's hierarchical co-design flows, typically requiring manual optimization strategies and multiple iterations. Specifically, a fast and finegrained evaluation of routability that considers all requirements between the different hierarchy levels is missing. In this paper we provide a comprehensive, fast method to evaluate the routability of interfaces in hierarchical systems based on a new probabilistic routability prediction. We implemented our methodology in an industrial design flow and achieved significant improvement in overall routing, including reduced manufacturing costs of chip-package-board co-designs.","PeriodicalId":189723,"journal":{"name":"International Workshop on System Level Interconnect Prediction","volume":"5 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-06-05","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125373839","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"A SAT-based routing algorithm for cross-referencing biochips","authors":"Ping-Hung Yuh, Cliff Chiung-Yu Lin, Tsung-Wei Huang, Tsung-Yi Ho, Chia-Lin Yang, Yao-Wen Chang","doi":"10.1109/SLIP.2011.6135436","DOIUrl":"https://doi.org/10.1109/SLIP.2011.6135436","url":null,"abstract":"CAD problems for microfluidic biochips have recently gained much attention. One critical issue is the droplet routing problem. On cross-referencing biochips, the routing problem requires an efficient way to tackle the complexity of simultaneous droplet routing, scheduling and voltage assignment. In this paper, we present the first SAT based routing algorithm for droplet routing on cross-referencing biochips. The SAT-based technique solves a large problem size much more efficiently than a generic ILP formulation. We adopt a two-stage technique of global routing followed by detailed routing. In global routing, we iteratively route a set of nets that heavily interfere with each other. In detailed routing, we adopt a negotiation based routing algorithm and the droplet routing information obtained in the global routing stage is utilized for routing decision. The experimental results demonstrate the efficiency and effectiveness of the proposed SAT-based routing algorithm on a set of practical bioassays.","PeriodicalId":189723,"journal":{"name":"International Workshop on System Level Interconnect Prediction","volume":"10 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-06-05","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125889376","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Chen-Ling Chou, R. Marculescu, Ümit Y. Ogras, S. Chatterjee, M. Kishinevsky, D. Loukianov
{"title":"System interconnect design exploration for embedded MPSoCs","authors":"Chen-Ling Chou, R. Marculescu, Ümit Y. Ogras, S. Chatterjee, M. Kishinevsky, D. Loukianov","doi":"10.1109/SLIP.2011.6135433","DOIUrl":"https://doi.org/10.1109/SLIP.2011.6135433","url":null,"abstract":"This paper presents a new approach for system interconnect design exploration of application-specific multi-processor systems-on-chip (MPSoCs). As a novel contribution, we develop an analytical model for network-based communication design space exploration and generate fabric solutions with optimal cost-performance trade-offs, while considering various design constrains, such as power, area, and wirelength. For large systems, we also propose an efficient approach for obtaining competitive solutions with significant less computation time compared to the exhaustive approach. The accuracy of our analytical model is validated via SystemC simulation using several synthetic applications and an industrial SoC design.","PeriodicalId":189723,"journal":{"name":"International Workshop on System Level Interconnect Prediction","volume":"256 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-06-05","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134398394","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Mobile system considerations for SDRAM interface trends","authors":"A. Kahng, V. Srinivas","doi":"10.1109/SLIP.2011.6135437","DOIUrl":"https://doi.org/10.1109/SLIP.2011.6135437","url":null,"abstract":"A variety of interconnect technologies and standards (DIMMs, MCP, POP, stacked-die and 3D-stack) enable a controller IC to communicate with an external SDRAM, or with multiple SDRAMs over a shared interconnect. Low-power requirements have driven mobile controllers to mobile-SDRAM (LPDDR) memory solutions. However, LPDDR configurations do not scale to match the throughput and capacity requirements of mobile processors, or of emerging tablet products that bring new and divergent tradeoffs among memory subsystem metrics. As a result, identifying the memory configuration best suited to a given mobile application becomes quite challenging. This paper highlights considerations in choosing a particular memory configuration for a mobile processor based on capacity, throughput, latency, power, cost and thermal concerns. We distinguish various choices according to interconnect implementation and performance, including power and timing in the IO and interconnect. To do this, we apply a three-part framework: (1) driving questions in the form of a decision tree, (2) a calculator that projects power and timing for mobile IO implementations, and (3) propagated top-down requirements and bottom-up capabilities that distinguish interconnect implementations. Our framework can support abstraction of timing and power for various interconnect configurations, to feed higher-level tools such as CACTI [19]. We anticipate that it can also be used to project mobile system requirements and memory interconnect capabilities into the future, so as to identify any gaps or bottlenecks in memory product roadmaps.","PeriodicalId":189723,"journal":{"name":"International Workshop on System Level Interconnect Prediction","volume":"7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-06-05","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133038075","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
{"title":"Reducing energy and increasing performance with traffic optimization in many-core systems","authors":"George B. P. Bezerra, S. Forrest, P. Zarkesh-Ha","doi":"10.1109/SLIP.2011.6135429","DOIUrl":"https://doi.org/10.1109/SLIP.2011.6135429","url":null,"abstract":"As the number of cores on a die continues to increase, it is necessary to optimize the traffic patterns of applications in order to minimize power consumption and maximize performance. We present a new approach for traffic optimization in many-core systems, which targets communication locality and load-balancing. Our approach works by mapping memory blocks to physical locations on the chip that are close to cores that access them, and by enforcing load balance by limiting the number of blocks mapped to each location. Communication locality reduces the average distance traveled by packets, which minimizes power and increases performance. Load-balancing avoids hotspots and improves cache utilization. Rather than treating every application in the same way, our method uses available information to produce mappings that are specially tuned for individual applications. Simulations performed on a 64-core system show a reduction in dynamic energy consumption of up to 81.6% and of 45.5% on average, and gains in performance of up to 13.2% on scientific benchmarks.","PeriodicalId":189723,"journal":{"name":"International Workshop on System Level Interconnect Prediction","volume":"14 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-06-05","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132718381","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}