2009 IEEE International Symposium on Workload Characterization (IISWC)最新文献

筛选
英文 中文
Message from the program chair 来自节目主持人的信息
2009 IEEE International Symposium on Workload Characterization (IISWC) Pub Date : 2021-12-01 DOI: 10.1109/DEXA.2006.87
T. Conte
{"title":"Message from the program chair","authors":"T. Conte","doi":"10.1109/DEXA.2006.87","DOIUrl":"https://doi.org/10.1109/DEXA.2006.87","url":null,"abstract":"It is my pleasure to present to you the program of the 2009 fifth annual IEEE International Symposium on Workload Characterization. We received 56 papers, of which we accepted 23 to the symposium. Each paper received on average 3.5 reviews. The program committee worked tirelessly to do these reviews, largely by themselves, or with the help of colleagues in a few rare cases. The program committee then met in Austin, TX in person and via teleconference in June to do the hard work of deciding which papers made the cut. This was no easy process, and I am indebted to many on the program committee for their hard work. In particular, I am quite grateful to those who helped shepherd papers that needed a few light revisions. These include of IBM Research, of Georgia Tech, Leslie Barnes of AMD and David Kaeli of Northeastern University.","PeriodicalId":387816,"journal":{"name":"2009 IEEE International Symposium on Workload Characterization (IISWC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-12-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114040768","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Message from the general chair 主席的口信
2009 IEEE International Symposium on Workload Characterization (IISWC) Pub Date : 2021-11-01 DOI: 10.1109/micro.2012.5
Derek Chiou
{"title":"Message from the general chair","authors":"Derek Chiou","doi":"10.1109/micro.2012.5","DOIUrl":"https://doi.org/10.1109/micro.2012.5","url":null,"abstract":"Welcome to the fifth annual IEEE International Symposium on Workload Characterization being held from October 4th to October 6th, 2009 at the AT&T Conference Center at the edge of the University of Texas at Austin campus. IISWC started in Austin as the Workshop on Workload Characterization and has not been held in Austin since the very first IISWC held in 2005. It's good to be back.","PeriodicalId":387816,"journal":{"name":"2009 IEEE International Symposium on Workload Characterization (IISWC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-11-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116174610","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Understanding the applicability of CMP performance optimizations on data mining applications 了解CMP性能优化在数据挖掘应用程序上的适用性
2009 IEEE International Symposium on Workload Characterization (IISWC) Pub Date : 2009-10-04 DOI: 10.1109/IISWC.2009.5306779
Ivan Jibaja, K. Shaw
{"title":"Understanding the applicability of CMP performance optimizations on data mining applications","authors":"Ivan Jibaja, K. Shaw","doi":"10.1109/IISWC.2009.5306779","DOIUrl":"https://doi.org/10.1109/IISWC.2009.5306779","url":null,"abstract":"A major challenge to the creation of chip multiprocessors is designing the on-chip memory and communication resources to efficiently support parallel workloads. A variety of cache organizations, data management techniques, and hardware optimizations that take advantage of specific data characteristics have been developed to improve application performance. The success of these approaches depends on applications exhibiting the presumed data characteristics.","PeriodicalId":387816,"journal":{"name":"2009 IEEE International Symposium on Workload Characterization (IISWC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-10-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125682236","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Understanding PARSEC performance on contemporary CMPs 了解PARSEC在当代cmp上的性能
2009 IEEE International Symposium on Workload Characterization (IISWC) Pub Date : 2009-10-04 DOI: 10.1109/IISWC.2009.5306793
M. Bhadauria, Vincent M. Weaver, S. Mckee
{"title":"Understanding PARSEC performance on contemporary CMPs","authors":"M. Bhadauria, Vincent M. Weaver, S. Mckee","doi":"10.1109/IISWC.2009.5306793","DOIUrl":"https://doi.org/10.1109/IISWC.2009.5306793","url":null,"abstract":"PARSEC is a reference application suite used in industry and academia to assess new Chip Multiprocessor (CMP) designs. No investigation to date has profiled PARSEC on real hardware to better understand scaling properties and bottlenecks. This understanding is crucial in guiding future CMP designs for these kinds of emerging workloads. We use hardware performance counters, taking a systems-level approach and varying common architectural parameters: number of out-of-order cores, memory hierarchy configurations, number of multiple simultaneous threads, number of memory channels, and processor frequencies. We find these programs to be largely compute-bound, and thus limited by number of cores, micro-architectural resources, and cache-to-cache transfers, rather than by off-chip memory or system bus bandwidth. Half the suite fails to scale linearly with increasing number of threads, and some applications saturate performance at few threads on all platforms tested. Exploiting thread level parallelism delivers greater payoffs than exploiting instruction level parallelism. To reduce power and improve performance, we recommend increasing the number of arithmetic units per core, increasing support for TLP, and reducing support for ILP.","PeriodicalId":387816,"journal":{"name":"2009 IEEE International Symposium on Workload Characterization (IISWC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-10-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126890333","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 86
Analyzing and improving performance scalability of commercial server workloads on a chip multiprocessor 在芯片多处理器上分析和改进商业服务器工作负载的性能可伸缩性
2009 IEEE International Symposium on Workload Characterization (IISWC) Pub Date : 2009-10-04 DOI: 10.1109/IISWC.2009.5306781
K. Ishizaki, T. Nakatani, S. Daijavad
{"title":"Analyzing and improving performance scalability of commercial server workloads on a chip multiprocessor","authors":"K. Ishizaki, T. Nakatani, S. Daijavad","doi":"10.1109/IISWC.2009.5306781","DOIUrl":"https://doi.org/10.1109/IISWC.2009.5306781","url":null,"abstract":"A chip multiprocessor (CMP) with many low performance cores can achieve high performance or high performance/power for commercial server applications. The large number of hardware threads of a CMP with many low performance cores poses significant challenges to application developers in writing scalable applications. Many papers have assessed the architectural characteristics and the performance scalability, and some of them have identified lock contention as one of the scalability bottlenecks. However, there are few studies that resolved these problems, analyzed their causes, and compared the architectural characteristics before and after the scalability limitations were addressed. We analyzed and resolved some of the problems limiting the scalability of three commercial server applications with 64 hardware threads. We also did before and after comparisons of the architectural characteristics affected by the scalability enhancements, supporting the development of new processors. We addressed the lock contention with changes in the Java code. Our enhancements improved the performance scalability by up to 132%. We show that though the causes of lock contention are in different software layers, they share certain similarities and can be organized in three categories. Our comparisons reveal that the CPI and data TLB miss rates decrease, but the L2 data cache miss rates, L2 instruction cache miss rates, and memory traffic increase. These results suggest that we need to address the performance scalability problems of an application before we can accurately measure the architectural characteristics of a CMP.","PeriodicalId":387816,"journal":{"name":"2009 IEEE International Symposium on Workload Characterization (IISWC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-10-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123880234","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
A characterization and analysis of PTX kernels PTX核的性质与分析
2009 IEEE International Symposium on Workload Characterization (IISWC) Pub Date : 2009-10-04 DOI: 10.1109/IISWC.2009.5306801
Andrew Kerr, G. Diamos, S. Yalamanchili
{"title":"A characterization and analysis of PTX kernels","authors":"Andrew Kerr, G. Diamos, S. Yalamanchili","doi":"10.1109/IISWC.2009.5306801","DOIUrl":"https://doi.org/10.1109/IISWC.2009.5306801","url":null,"abstract":"General purpose application development for GPUs (GPGPU) has recently gained momentum as a cost-effective approach for accelerating data- and compute-intensive applications. It has been driven by the introduction of C-based programming environments such as NVIDIA's CUDA [1], OpenCL [2], and Intel's Ct [3]. While significant effort has been focused on developing and evaluating applications and software tools, comparatively little has been devoted to the analysis and characterization of applications to assist future work in compiler optimizations, application re-structuring, and micro-architecture design. This paper proposes a set of metrics for GPU workloads and uses these metrics to analyze the behavior of GPU programs. We report on an analysis of over 50 kernels and applications including the full NVIDIA CUDA SDK and UIUC's Parboil Benchmark Suite covering control flow, data flow, parallelism, and memory behavior. The analysis was performed using a full function emulator we developed that implements the NVIDIA virtual machine referred to as PTX (Parallel Thread eXecution architecture) - a machine model and low level virtual ISA that is representative of ISAs for data parallel execution. The emulator can execute compiled kernels from the CUDA compiler, currently supports the full PTX 1.4 specification [4], and has been validated against the full CUDA SDK. The results quantify the importance of optimizations such as those for branch reconvergence, the prevalance of sharing between threads, and highlights opportunities for additional parallelism.","PeriodicalId":387816,"journal":{"name":"2009 IEEE International Symposium on Workload Characterization (IISWC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-10-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127650904","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 135
Characterization of DBT overhead DBT开销的表征
2009 IEEE International Symposium on Workload Characterization (IISWC) Pub Date : 2009-10-04 DOI: 10.1109/IISWC.2009.5306785
E. Borin, Youfeng Wu
{"title":"Characterization of DBT overhead","authors":"E. Borin, Youfeng Wu","doi":"10.1109/IISWC.2009.5306785","DOIUrl":"https://doi.org/10.1109/IISWC.2009.5306785","url":null,"abstract":"In recent years, dynamic binary translation has emerged as an important tool with many real world applications. Besides supporting legacy binary code and ISA virtualization, it enables innovative co-designed microarchitectures and allows transparent binary instrumentation. The dynamic nature of the translation usually incurs extra execution overhead and many research works had proposed software and hardware solutions to minimize the overhead [1, 2]. In this paper, we analyze our dynamic binary translator performance and depict the main sources of overhead in details. We classify the translation operations and associated overhead into five major categories, and quantify their contribution to the overall overhead. Based on the analysis and detailed evaluation, we identify and point out the most promising solutions to address the overhead problem. We believe this study is an important first step toward the grand goal of zero-overhead dynamic binary translation.","PeriodicalId":387816,"journal":{"name":"2009 IEEE International Symposium on Workload Characterization (IISWC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-10-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124997619","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 26
Workload characterization and optimization of high-performance text indexing on the Cell Broadband Engine™ (Cell/B.E.) Cell宽带引擎™(Cell/B.E.)上高性能文本索引的工作负载表征和优化
2009 IEEE International Symposium on Workload Characterization (IISWC) Pub Date : 2009-10-04 DOI: 10.1109/IISWC.2009.5306798
D. Scarpazza, G. W. Braudaway
{"title":"Workload characterization and optimization of high-performance text indexing on the Cell Broadband Engine™ (Cell/B.E.)","authors":"D. Scarpazza, G. W. Braudaway","doi":"10.1109/IISWC.2009.5306798","DOIUrl":"https://doi.org/10.1109/IISWC.2009.5306798","url":null,"abstract":"In this paper we examine text indexing on the Cell Broadband Engine™ (Cell/B.E.), an emerging workload on an emerging multicore architecture. The Cell Broadband Engine is a microprocessor jointly developed by Sony Computer Entertainment, Toshiba, and IBM (herein, we refer to it simply as the “Cell”).","PeriodicalId":387816,"journal":{"name":"2009 IEEE International Symposium on Workload Characterization (IISWC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-10-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132414047","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Green clouds and black swans in the exascale era 百亿亿次时代的绿云和黑天鹅
2009 IEEE International Symposium on Workload Characterization (IISWC) Pub Date : 2009-10-04 DOI: 10.1109/IISWC.2009.5306800
Parthasarathy Ranganathan
{"title":"Green clouds and black swans in the exascale era","authors":"Parthasarathy Ranganathan","doi":"10.1109/IISWC.2009.5306800","DOIUrl":"https://doi.org/10.1109/IISWC.2009.5306800","url":null,"abstract":"The petascale milestone is behind us, and the next grand challenge is to design systems and datacenters for the exascale era (10^18 flops). this talk will speculate on challenges and opportunities in understanding and characterizing workloads in the exascale era, with particular emphasis on potential “black swan events*”.","PeriodicalId":387816,"journal":{"name":"2009 IEEE International Symposium on Workload Characterization (IISWC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-10-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122183876","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Experimental evaluation of N-tier systems: Observation and analysis of multi-bottlenecks n层系统的实验评估:多瓶颈的观察与分析
2009 IEEE International Symposium on Workload Characterization (IISWC) Pub Date : 2009-10-04 DOI: 10.1109/IISWC.2009.5306791
Simon Malkowski, Markus Hedwig, C. Pu
{"title":"Experimental evaluation of N-tier systems: Observation and analysis of multi-bottlenecks","authors":"Simon Malkowski, Markus Hedwig, C. Pu","doi":"10.1109/IISWC.2009.5306791","DOIUrl":"https://doi.org/10.1109/IISWC.2009.5306791","url":null,"abstract":"In many areas such as e-commerce, mission-critical N-tier applications have grown increasingly complex. They are characterized by non-stationary workloads (e.g., peak load several times the sustained load) and complex dependencies among the component servers. We have studied N-tier applications through a large number of experiments using the RUBiS and RUBBoS benchmarks. We apply statistical methods such as kernel density estimation, adaptive filtering, and change detection through multiple-model hypothesis tests to analyze more than 200GB of recorded data. Beyond the usual single-bottlenecks, we have observed more intricate bottleneck phenomena. For instance, in several configurations all system components show average resource utilization significantly below saturation, but overall throughput is limited despite addition of more resources. More concretely, our analysis shows experimental evidence of multi-bottleneck cases with low average resource utilization where several resources saturate alternatively, indicating a clear lack of independence in their utilization. Our data corroborates the increasing awareness of the need for more sophisticated analytical performance models to describe N-tier applications that do not rely on independent resource utilization assumptions. We also present a preliminary taxonomy of multi-bottlenecks found in our experimentally observed data.","PeriodicalId":387816,"journal":{"name":"2009 IEEE International Symposium on Workload Characterization (IISWC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-10-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"117169037","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 69
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
相关产品
×
本文献相关产品
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信