EUV光刻5nm逻辑工艺流程的关键工艺方法推荐

Yushu Yang, Yanli Li, Qiang Wu, Jianjun Zhu, Shoumian Chen
{"title":"EUV光刻5nm逻辑工艺流程的关键工艺方法推荐","authors":"Yushu Yang, Yanli Li, Qiang Wu, Jianjun Zhu, Shoumian Chen","doi":"10.33079/jomm.20030103","DOIUrl":null,"url":null,"abstract":"5 nm logic process is the current leading-edge technology which is under development in world-wide leading foundries. In a typical 5 nm logic process, the Fin pitch is 22~27 nm, the contact-poly pitch (CPP) is 48~55 nm, and the minimum metal pitch (MPP) is around 30~36 nm. Due to the fact that these pitches are much smaller than the resolution capability of 193 nm immersion lithography, it is also the first generation which adopts EUV photolithography technology on a large-scale where the process flow can be simplified by single exposure method from more than 10 layers. Relentless scaling brings big challenges to process integration and pushes each process module to the physical and material limit. Therefore, the success of process development will largely depend on careful balance the pros and cons to achieve both performance and yield targets. In the paper, we discussed the advantages and disadvantages of different process approaches for key process loops for 5 nm logic process flow, including dummy poly cut versus metal gate cut approaches in the metal gate loops, self-aligned contact (SAC) versus brutally aligned contact (BAC) approaches, and also introduced the self-aligned double patterning approach in the lower metal processes. Based on the above evaluation, we will provide a recommendation for module’s process development.","PeriodicalId":66020,"journal":{"name":"微电子制造学报","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2019-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"0","resultStr":"{\"title\":\"Key Process Approach Recommendation for 5 nm Logic Process Flow with EUV Photolithography\",\"authors\":\"Yushu Yang, Yanli Li, Qiang Wu, Jianjun Zhu, Shoumian Chen\",\"doi\":\"10.33079/jomm.20030103\",\"DOIUrl\":null,\"url\":null,\"abstract\":\"5 nm logic process is the current leading-edge technology which is under development in world-wide leading foundries. In a typical 5 nm logic process, the Fin pitch is 22~27 nm, the contact-poly pitch (CPP) is 48~55 nm, and the minimum metal pitch (MPP) is around 30~36 nm. Due to the fact that these pitches are much smaller than the resolution capability of 193 nm immersion lithography, it is also the first generation which adopts EUV photolithography technology on a large-scale where the process flow can be simplified by single exposure method from more than 10 layers. Relentless scaling brings big challenges to process integration and pushes each process module to the physical and material limit. Therefore, the success of process development will largely depend on careful balance the pros and cons to achieve both performance and yield targets. In the paper, we discussed the advantages and disadvantages of different process approaches for key process loops for 5 nm logic process flow, including dummy poly cut versus metal gate cut approaches in the metal gate loops, self-aligned contact (SAC) versus brutally aligned contact (BAC) approaches, and also introduced the self-aligned double patterning approach in the lower metal processes. Based on the above evaluation, we will provide a recommendation for module’s process development.\",\"PeriodicalId\":66020,\"journal\":{\"name\":\"微电子制造学报\",\"volume\":null,\"pages\":null},\"PeriodicalIF\":0.0000,\"publicationDate\":\"2019-01-01\",\"publicationTypes\":\"Journal Article\",\"fieldsOfStudy\":null,\"isOpenAccess\":false,\"openAccessPdf\":\"\",\"citationCount\":\"0\",\"resultStr\":null,\"platform\":\"Semanticscholar\",\"paperid\":null,\"PeriodicalName\":\"微电子制造学报\",\"FirstCategoryId\":\"1089\",\"ListUrlMain\":\"https://doi.org/10.33079/jomm.20030103\",\"RegionNum\":0,\"RegionCategory\":null,\"ArticlePicture\":[],\"TitleCN\":null,\"AbstractTextCN\":null,\"PMCID\":null,\"EPubDate\":\"\",\"PubModel\":\"\",\"JCR\":\"\",\"JCRName\":\"\",\"Score\":null,\"Total\":0}","platform":"Semanticscholar","paperid":null,"PeriodicalName":"微电子制造学报","FirstCategoryId":"1089","ListUrlMain":"https://doi.org/10.33079/jomm.20030103","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 0

摘要

5nm逻辑工艺是目前世界领先的代工厂正在开发的前沿技术。在典型的5nm逻辑制程中,翅片间距为22~27 nm,接触聚间距(CPP)为48~55 nm,最小金属间距(MPP)约为30~36 nm。由于这些间距远小于193nm浸没光刻的分辨率能力,它也是第一代大规模采用EUV光刻技术的产品,可以通过10层以上的单次曝光方法简化工艺流程。不断的缩放给工艺集成带来了巨大的挑战,并将每个工艺模块推向物理和材料的极限。因此,工艺开发的成功将在很大程度上取决于仔细平衡利弊,以实现性能和产量目标。在本文中,我们讨论了不同工艺方法在5nm逻辑工艺流关键工艺回路中的优缺点,包括金属门回路中的虚拟聚切与金属门切割方法,自对准接触(SAC)与残酷对准接触(BAC)方法,并介绍了自对准双图纹方法在较低金属工艺中的应用。基于以上评估,我们将为模块的流程开发提供建议。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
Key Process Approach Recommendation for 5 nm Logic Process Flow with EUV Photolithography
5 nm logic process is the current leading-edge technology which is under development in world-wide leading foundries. In a typical 5 nm logic process, the Fin pitch is 22~27 nm, the contact-poly pitch (CPP) is 48~55 nm, and the minimum metal pitch (MPP) is around 30~36 nm. Due to the fact that these pitches are much smaller than the resolution capability of 193 nm immersion lithography, it is also the first generation which adopts EUV photolithography technology on a large-scale where the process flow can be simplified by single exposure method from more than 10 layers. Relentless scaling brings big challenges to process integration and pushes each process module to the physical and material limit. Therefore, the success of process development will largely depend on careful balance the pros and cons to achieve both performance and yield targets. In the paper, we discussed the advantages and disadvantages of different process approaches for key process loops for 5 nm logic process flow, including dummy poly cut versus metal gate cut approaches in the metal gate loops, self-aligned contact (SAC) versus brutally aligned contact (BAC) approaches, and also introduced the self-aligned double patterning approach in the lower metal processes. Based on the above evaluation, we will provide a recommendation for module’s process development.
求助全文
通过发布文献求助,成功后即可免费获取论文全文。 去求助
来源期刊
自引率
0.00%
发文量
46
审稿时长
4 weeks
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
copy
已复制链接
快去分享给好友吧!
我知道了
右上角分享
点击右上角分享
0
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信