基于ta的超导量子器件的化学机械平面化

Bhatia, Ekta, Kar, Soumen, Nalaskowski, Jakub, Vo, Tuan, Olson, Stephen, Frost, Hunter, Mucci, John, Martinick, Brian, Hung, Pui Yee, Wells, Ilyssa, Schujman, Sandra, Rao, Satyavolu S. Papa
{"title":"基于ta的超导量子器件的化学机械平面化","authors":"Bhatia, Ekta, Kar, Soumen, Nalaskowski, Jakub, Vo, Tuan, Olson, Stephen, Frost, Hunter, Mucci, John, Martinick, Brian, Hung, Pui Yee, Wells, Ilyssa, Schujman, Sandra, Rao, Satyavolu S. Papa","doi":"10.1116/6.0002586","DOIUrl":null,"url":null,"abstract":"We report on the development of a chemical mechanical planarization (CMP) process for thick damascene Ta structures with pattern feature sizes down to 100 nm. This CMP process is the core of the fabrication sequence for scalable superconducting integrated circuits at a 300 mm wafer scale. This work has established the elements of various CMP-related design rules that can be followed by a designer for the layout of circuits that include Ta-based coplanar waveguide resonators, capacitors, and interconnects for tantalum-based qubits and single flux quantum circuits. The fabrication of these structures utilizes a 193 nm optical lithography along with 300 mm process tools for dielectric deposition, reactive ion etch, wet-clean, CMP, and in-line metrology—all tools typical for a 300 mm wafer CMOS foundry. Theprocess development was guided by measurements of the physical and electrical characteristics of the planarized structures. Physical characterization such as atomic force microscopy across the 300 mm wafer surface showed that local topography was less than 5 nm. Electrical characterization confirmed low leakage at room temperature, and less than 12% within wafer sheet resistance variation for damascene Ta line widths ranging from 100 nm to 3 μm. Run-to-run reproducibility was also evaluated. Effects of process integration choices including the deposited thickness of Ta are discussed.","PeriodicalId":17571,"journal":{"name":"Journal of Vacuum Science and Technology","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2023-04-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"1","resultStr":"{\"title\":\"Chemical mechanical planarization for Ta-based superconducting quantum devices\",\"authors\":\"Bhatia, Ekta, Kar, Soumen, Nalaskowski, Jakub, Vo, Tuan, Olson, Stephen, Frost, Hunter, Mucci, John, Martinick, Brian, Hung, Pui Yee, Wells, Ilyssa, Schujman, Sandra, Rao, Satyavolu S. Papa\",\"doi\":\"10.1116/6.0002586\",\"DOIUrl\":null,\"url\":null,\"abstract\":\"We report on the development of a chemical mechanical planarization (CMP) process for thick damascene Ta structures with pattern feature sizes down to 100 nm. This CMP process is the core of the fabrication sequence for scalable superconducting integrated circuits at a 300 mm wafer scale. This work has established the elements of various CMP-related design rules that can be followed by a designer for the layout of circuits that include Ta-based coplanar waveguide resonators, capacitors, and interconnects for tantalum-based qubits and single flux quantum circuits. The fabrication of these structures utilizes a 193 nm optical lithography along with 300 mm process tools for dielectric deposition, reactive ion etch, wet-clean, CMP, and in-line metrology—all tools typical for a 300 mm wafer CMOS foundry. Theprocess development was guided by measurements of the physical and electrical characteristics of the planarized structures. Physical characterization such as atomic force microscopy across the 300 mm wafer surface showed that local topography was less than 5 nm. Electrical characterization confirmed low leakage at room temperature, and less than 12% within wafer sheet resistance variation for damascene Ta line widths ranging from 100 nm to 3 μm. Run-to-run reproducibility was also evaluated. Effects of process integration choices including the deposited thickness of Ta are discussed.\",\"PeriodicalId\":17571,\"journal\":{\"name\":\"Journal of Vacuum Science and Technology\",\"volume\":null,\"pages\":null},\"PeriodicalIF\":0.0000,\"publicationDate\":\"2023-04-07\",\"publicationTypes\":\"Journal Article\",\"fieldsOfStudy\":null,\"isOpenAccess\":false,\"openAccessPdf\":\"\",\"citationCount\":\"1\",\"resultStr\":null,\"platform\":\"Semanticscholar\",\"paperid\":null,\"PeriodicalName\":\"Journal of Vacuum Science and Technology\",\"FirstCategoryId\":\"1085\",\"ListUrlMain\":\"https://doi.org/10.1116/6.0002586\",\"RegionNum\":0,\"RegionCategory\":null,\"ArticlePicture\":[],\"TitleCN\":null,\"AbstractTextCN\":null,\"PMCID\":null,\"EPubDate\":\"\",\"PubModel\":\"\",\"JCR\":\"\",\"JCRName\":\"\",\"Score\":null,\"Total\":0}","platform":"Semanticscholar","paperid":null,"PeriodicalName":"Journal of Vacuum Science and Technology","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1116/6.0002586","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 1

摘要

我们报道了一种化学机械平面化(CMP)工艺的发展,用于图案特征尺寸低至100 nm的厚的大马士革Ta结构。该CMP工艺是300毫米晶圆级可扩展超导集成电路制造流程的核心。这项工作已经建立了各种cmp相关设计规则的元素,设计人员可以遵循这些规则来设计电路布局,包括基于钽的共面波导谐振器、电容器和基于钽的量子比特和单通量量子电路的互连。这些结构的制造采用193nm光学光刻以及300mm工艺工具,用于介质沉积,反应离子蚀刻,湿式清洁,CMP和在线计量-所有这些工具都是300mm晶圆CMOS代工厂的典型工具。该工艺开发是通过测量平面结构的物理和电气特性来指导的。原子力显微镜等物理表征表明,300 mm晶圆表面的局部形貌小于5 nm。电学特性证实,在室温下漏电率较低,在100 nm至3 μm的damascene Ta线宽度范围内,在晶片电阻变化范围内漏电率小于12%。还评估了运行到运行的重复性。讨论了工艺集成选择的影响,包括Ta的沉积厚度。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
Chemical mechanical planarization for Ta-based superconducting quantum devices
We report on the development of a chemical mechanical planarization (CMP) process for thick damascene Ta structures with pattern feature sizes down to 100 nm. This CMP process is the core of the fabrication sequence for scalable superconducting integrated circuits at a 300 mm wafer scale. This work has established the elements of various CMP-related design rules that can be followed by a designer for the layout of circuits that include Ta-based coplanar waveguide resonators, capacitors, and interconnects for tantalum-based qubits and single flux quantum circuits. The fabrication of these structures utilizes a 193 nm optical lithography along with 300 mm process tools for dielectric deposition, reactive ion etch, wet-clean, CMP, and in-line metrology—all tools typical for a 300 mm wafer CMOS foundry. Theprocess development was guided by measurements of the physical and electrical characteristics of the planarized structures. Physical characterization such as atomic force microscopy across the 300 mm wafer surface showed that local topography was less than 5 nm. Electrical characterization confirmed low leakage at room temperature, and less than 12% within wafer sheet resistance variation for damascene Ta line widths ranging from 100 nm to 3 μm. Run-to-run reproducibility was also evaluated. Effects of process integration choices including the deposited thickness of Ta are discussed.
求助全文
通过发布文献求助,成功后即可免费获取论文全文。 去求助
来源期刊
自引率
0.00%
发文量
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
copy
已复制链接
快去分享给好友吧!
我知道了
右上角分享
点击右上角分享
0
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信