Perspectives and tradeoffs of absorber materials for high NA EUV lithography

IF 1.5 2区 物理与天体物理 Q3 ENGINEERING, ELECTRICAL & ELECTRONIC
A. Erdmann, H. Mesilhy, P. Evanschitzky, V. Philipsen, F. Timmermans, Markus Bauer
{"title":"Perspectives and tradeoffs of absorber materials for high NA EUV lithography","authors":"A. Erdmann, H. Mesilhy, P. Evanschitzky, V. Philipsen, F. Timmermans, Markus Bauer","doi":"10.1117/1.JMM.19.4.041001","DOIUrl":null,"url":null,"abstract":"Abstract. Next-generation extreme ultraviolet (EUV) systems with numerical apertures of 0.55 have the potential to provide sub-8-nm half-pitch resolution. The increased importance of stochastic effects at smaller feature sizes places further demands on scanner and mask to provide high contrast images. We use rigorous mask diffraction and imaging simulation to understand the impact of the EUV mask absorber and to identify the most appropriate optical parameters for high NA EUV imaging. Simulations of various use cases and material options indicate two main types of solutions: high extinction materials, especially for lines spaces, and low refractive index materials that can provide phase shift mask solutions. EUV phase masks behave very different from phase shift masks for DUV. Carefully designed low refractive index materials and masks can open up a new path toward high contrast edge printing.","PeriodicalId":16522,"journal":{"name":"Journal of Micro/Nanolithography, MEMS, and MOEMS","volume":null,"pages":null},"PeriodicalIF":1.5000,"publicationDate":"2020-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"22","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"Journal of Micro/Nanolithography, MEMS, and MOEMS","FirstCategoryId":"101","ListUrlMain":"https://doi.org/10.1117/1.JMM.19.4.041001","RegionNum":2,"RegionCategory":"物理与天体物理","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"Q3","JCRName":"ENGINEERING, ELECTRICAL & ELECTRONIC","Score":null,"Total":0}
引用次数: 22

Abstract

Abstract. Next-generation extreme ultraviolet (EUV) systems with numerical apertures of 0.55 have the potential to provide sub-8-nm half-pitch resolution. The increased importance of stochastic effects at smaller feature sizes places further demands on scanner and mask to provide high contrast images. We use rigorous mask diffraction and imaging simulation to understand the impact of the EUV mask absorber and to identify the most appropriate optical parameters for high NA EUV imaging. Simulations of various use cases and material options indicate two main types of solutions: high extinction materials, especially for lines spaces, and low refractive index materials that can provide phase shift mask solutions. EUV phase masks behave very different from phase shift masks for DUV. Carefully designed low refractive index materials and masks can open up a new path toward high contrast edge printing.
高NA - EUV光刻吸收材料的前景与权衡
摘要下一代极紫外(EUV)系统的数值孔径为0.55,有可能提供低于8纳米的半间距分辨率。在较小的特征尺寸上,随机效应的重要性日益增加,这进一步要求扫描仪和掩模提供高对比度的图像。我们使用严格的掩模衍射和成像模拟来了解EUV掩模吸收器的影响,并确定高NA EUV成像的最合适的光学参数。各种用例和材料选择的模拟表明了两种主要的解决方案:高消光材料,特别是用于线空间,以及可以提供相移掩模解决方案的低折射率材料。EUV相位掩模的性能与DUV相移掩模非常不同。精心设计的低折射率材料和掩模可以为高对比度边缘印刷开辟新的道路。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 求助全文
来源期刊
CiteScore
3.40
自引率
30.40%
发文量
0
审稿时长
6-12 weeks
文献相关原料
公司名称 产品信息 采购帮参考价格
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
copy
已复制链接
快去分享给好友吧!
我知道了
右上角分享
点击右上角分享
0
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信