Power Reduction of Functional Units Considering Temperature and Process Variations

D. Kannan, Aviral Shrivastava, Sarvesh Bhardwaj, S. Vrudhula
{"title":"Power Reduction of Functional Units Considering Temperature and Process Variations","authors":"D. Kannan, Aviral Shrivastava, Sarvesh Bhardwaj, S. Vrudhula","doi":"10.1109/VLSI.2008.81","DOIUrl":null,"url":null,"abstract":"Continuous technology scaling has resulted in an increase in both, the power density as well as the variation in device dimensions (process variations) of the manufactured processors. Both power density and process variations have a significant impact on the leakage power. Therefore, power optimization techniques should be sensitive to the variation in leakage power due to both temperature as well as process variations. Operation to functional units binding mechanism (OFBM) is the mechanism to dynamically issue operations to functional units (FUs) in superscalar processors. We propose a leakage-aware OFBM (LA-OFBM), which is both temperature and process variation aware. Our experimental results demostrate that LA-OFBM reduces the mean and standard deviation of the total energy consumption of ALUs by 18%, and 46% respectively, as compared to the traditional OFBM, without any performance penalty.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"5","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"21st International Conference on VLSI Design (VLSID 2008)","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1109/VLSI.2008.81","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 5

Abstract

Continuous technology scaling has resulted in an increase in both, the power density as well as the variation in device dimensions (process variations) of the manufactured processors. Both power density and process variations have a significant impact on the leakage power. Therefore, power optimization techniques should be sensitive to the variation in leakage power due to both temperature as well as process variations. Operation to functional units binding mechanism (OFBM) is the mechanism to dynamically issue operations to functional units (FUs) in superscalar processors. We propose a leakage-aware OFBM (LA-OFBM), which is both temperature and process variation aware. Our experimental results demostrate that LA-OFBM reduces the mean and standard deviation of the total energy consumption of ALUs by 18%, and 46% respectively, as compared to the traditional OFBM, without any performance penalty.
考虑温度和工艺变化的功能单元功率降低
持续的技术扩展导致了功率密度和制造处理器的设备尺寸变化(工艺变化)的增加。功率密度和工艺变化对泄漏功率均有显著影响。因此,功率优化技术应该对温度和工艺变化引起的泄漏功率变化敏感。操作到功能单元绑定机制(OFBM)是在超标量处理器中动态地向功能单元(FUs)发出操作的机制。我们提出了一种泄漏感知OFBM (LA-OFBM),它既能感知温度变化,也能感知工艺变化。我们的实验结果表明,与传统的OFBM相比,LA-OFBM将alu总能耗的平均值和标准差分别降低了18%和46%,而没有任何性能损失。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 求助全文
来源期刊
自引率
0.00%
发文量
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
copy
已复制链接
快去分享给好友吧!
我知道了
右上角分享
点击右上角分享
0
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信