Study of selective isotropic etching effects of Si1-xGex in gate-all-around nanosheet transistor process

Qi Yan, H. Shao, Junjie Li, Z. Kong, Xiaobin He, Junfeng Li, Tao-mei Yang, Rui Chen, Yayi Wei
{"title":"Study of selective isotropic etching effects of Si1-xGex in gate-all-around nanosheet transistor process","authors":"Qi Yan, H. Shao, Junjie Li, Z. Kong, Xiaobin He, Junfeng Li, Tao-mei Yang, Rui Chen, Yayi Wei","doi":"10.1117/12.2658312","DOIUrl":null,"url":null,"abstract":"Gate-all-around (GAA) nanosheet transistors are widely accepted for the mainstream technology towards 3nm technology node. The major strategy is to form nanosheet by using Si1-xGex/Si multilayer structures (MLS). Inner spacer formation is a critical step as it defines the gate length and isolates gate from source and drain. Selectively removing of SiGe layers determines the dimension of the inner spacer and impacts the transistor performance significantly. It requires precise process control in the lateral cavity etching and brings significant challenges to conventional etching manners. In our previous work, we achieved isotropic Si0.7Ge0.3 selective etching in SiGe/Si stack with high selectivity. However, the results were achieved on the single SiGe/Si stack in a relatively open area, when moving to dense patterns, the etching performance desires for further study. In this paper, we present our latest progress on isotropic etching by using ICP with mixed gas of CF4/O2/He on SiGe/Si stack periodic arrays. Loading effect and Si surface damage were observed. We reproduce these etching effects by developing an analytical model. This model is based on Monte-Carlo method and is capable of simulating the profile evolution of the lateral etching of SiGe/Si structures. The influence of etch time, pattern pitch and stack layer thickness on lateral etch results have been studied by simulation.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"0","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"Advanced Lithography","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1117/12.2658312","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 0

Abstract

Gate-all-around (GAA) nanosheet transistors are widely accepted for the mainstream technology towards 3nm technology node. The major strategy is to form nanosheet by using Si1-xGex/Si multilayer structures (MLS). Inner spacer formation is a critical step as it defines the gate length and isolates gate from source and drain. Selectively removing of SiGe layers determines the dimension of the inner spacer and impacts the transistor performance significantly. It requires precise process control in the lateral cavity etching and brings significant challenges to conventional etching manners. In our previous work, we achieved isotropic Si0.7Ge0.3 selective etching in SiGe/Si stack with high selectivity. However, the results were achieved on the single SiGe/Si stack in a relatively open area, when moving to dense patterns, the etching performance desires for further study. In this paper, we present our latest progress on isotropic etching by using ICP with mixed gas of CF4/O2/He on SiGe/Si stack periodic arrays. Loading effect and Si surface damage were observed. We reproduce these etching effects by developing an analytical model. This model is based on Monte-Carlo method and is capable of simulating the profile evolution of the lateral etching of SiGe/Si structures. The influence of etch time, pattern pitch and stack layer thickness on lateral etch results have been studied by simulation.
Si1-xGex在栅极全能纳米片晶体管工艺中的选择性各向同性刻蚀效应研究
栅极全能(GAA)纳米片晶体管是面向3nm技术节点的主流技术。主要策略是采用Si1-xGex/Si多层结构(MLS)形成纳米片。内部间隔层的形成是一个关键步骤,因为它确定栅极长度并将栅极与源极和漏极隔离开来。选择性地去除SiGe层决定了内部间隔层的尺寸,并显著影响晶体管的性能。侧向腔蚀刻需要精确的工艺控制,对传统的蚀刻方式提出了重大挑战。在我们之前的工作中,我们在SiGe/Si堆叠中实现了各向同性的Si0.7Ge0.3选择性蚀刻,具有高选择性。然而,这些结果是在相对开放区域的单SiGe/Si堆叠上取得的,当移动到密集模式时,蚀刻性能需要进一步研究。本文介绍了CF4/O2/He混合气体ICP在SiGe/Si堆叠周期阵列上各向同性刻蚀的最新进展。观察了加载效应和硅的表面损伤。我们通过建立一个分析模型来重现这些蚀刻效应。该模型基于蒙特卡罗方法,能够模拟SiGe/Si结构横向刻蚀的轮廓演变过程。通过仿真研究了刻蚀时间、图案间距和叠层厚度对横向刻蚀效果的影响。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 求助全文
来源期刊
自引率
0.00%
发文量
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
copy
已复制链接
快去分享给好友吧!
我知道了
右上角分享
点击右上角分享
0
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信