In-network Monitoring and Control Policy for DVFS of CMP Networks-on-Chip and Last Level Caches

X. Chen, Zheng Xu, Hyungjun Kim, Paul V. Gratz, Jiang Hu, M. Kishinevsky, Ümit Y. Ogras
{"title":"In-network Monitoring and Control Policy for DVFS of CMP Networks-on-Chip and Last Level Caches","authors":"X. Chen, Zheng Xu, Hyungjun Kim, Paul V. Gratz, Jiang Hu, M. Kishinevsky, Ümit Y. Ogras","doi":"10.1145/2504905","DOIUrl":null,"url":null,"abstract":"In chip design today and for a foreseeable future, on-chip communication is not only a performance bottleneck but also a substantial power consumer. This work focuses on employing dynamic voltage and frequency scaling (DVFS) policies for networks-on-chip (NoC) and shared, distributed last-level caches (LLC). In particular, we consider a practical system architecture where the distributed LLC and the NoC share a voltage/frequency domain which is separate from the core domain. This architecture enables controlling the relative speed between the cores and memory hierarchy without introducing synchronization delays within the NoC. DVFS for this architecture is more difficult than individual link/core-based DVFS since it involves spatially distributed monitoring and control. We propose an average memory access time (AMAT)-based monitoring technique and integrate it with DVFS based on PID control theory. Simulations on PARSEC benchmarks yield a 33% dynamic energy savings with a negligible impact on system performance.","PeriodicalId":6333,"journal":{"name":"2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2012-05-09","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"61","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1145/2504905","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 61

Abstract

In chip design today and for a foreseeable future, on-chip communication is not only a performance bottleneck but also a substantial power consumer. This work focuses on employing dynamic voltage and frequency scaling (DVFS) policies for networks-on-chip (NoC) and shared, distributed last-level caches (LLC). In particular, we consider a practical system architecture where the distributed LLC and the NoC share a voltage/frequency domain which is separate from the core domain. This architecture enables controlling the relative speed between the cores and memory hierarchy without introducing synchronization delays within the NoC. DVFS for this architecture is more difficult than individual link/core-based DVFS since it involves spatially distributed monitoring and control. We propose an average memory access time (AMAT)-based monitoring technique and integrate it with DVFS based on PID control theory. Simulations on PARSEC benchmarks yield a 33% dynamic energy savings with a negligible impact on system performance.
CMP片上网络和末级缓存DVFS的网内监控策略
在当今和可预见的未来,芯片上的通信不仅是性能瓶颈,也是一个巨大的功耗消耗。这项工作的重点是在片上网络(NoC)和共享、分布式最后一级缓存(LLC)中采用动态电压和频率缩放(DVFS)策略。特别是,我们考虑了一种实用的系统架构,其中分布式LLC和NoC共享与核心域分离的电压/频率域。这种体系结构可以控制内核和内存层次之间的相对速度,而不会在NoC中引入同步延迟。这种体系结构的DVFS比基于单个链接/核心的DVFS更困难,因为它涉及空间分布的监视和控制。提出了一种基于平均存储器访问时间(AMAT)的监控技术,并将其与基于PID控制理论的DVFS相结合。在PARSEC基准测试上的模拟产生了33%的动态节能,对系统性能的影响可以忽略不计。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 求助全文
来源期刊
自引率
0.00%
发文量
0
文献相关原料
公司名称 产品信息 采购帮参考价格
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
copy
已复制链接
快去分享给好友吧!
我知道了
右上角分享
点击右上角分享
0
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信