Ultrasonic piezoceramic transducer modeling with VHDL-AMS IEEE 1076.1

R. Guelaz, D. Kourtiche, M. Nadi, Y. Hervé
{"title":"Ultrasonic piezoceramic transducer modeling with VHDL-AMS IEEE 1076.1","authors":"R. Guelaz, D. Kourtiche, M. Nadi, Y. Hervé","doi":"10.1109/ICSENS.2004.1426106","DOIUrl":null,"url":null,"abstract":"This paper presents an ultrasonic transducer modeling with VHDL-AMS IEEE 1076 integrated in a global measurement cell modeling dedicated to biological ultrasound characterization. Conventional modeling of ultrasonic transducer is based on electrical analogy and is not simulated in the global measurement environment. The ultrasonic transducer modeling proposed is simulated with the nonlinear acoustic load and electronic excitation. The transducer is a piezoceramic element vibrating in thickness mode. The theoretical equations of piezoelectricity are used to determine a matrix transfer which describes the relation between the electrical and the acoustical parts. The temporal implementation is based on the Redwood's model. Characterization of different mediums like water and ethanol with a compared method is based on the B/A ultrasonic parameter estimation. The good results obtained for temporal simulation and B/A nonlinear parameter estimation compared to measurements show that we can extended to a multi-layer characterization with an ultrasonic nonlinear imaging probe modeling.","PeriodicalId":20476,"journal":{"name":"Proceedings of IEEE Sensors, 2004.","volume":null,"pages":null},"PeriodicalIF":0.0000,"publicationDate":"2004-01-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":"10","resultStr":null,"platform":"Semanticscholar","paperid":null,"PeriodicalName":"Proceedings of IEEE Sensors, 2004.","FirstCategoryId":"1085","ListUrlMain":"https://doi.org/10.1109/ICSENS.2004.1426106","RegionNum":0,"RegionCategory":null,"ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":null,"EPubDate":"","PubModel":"","JCR":"","JCRName":"","Score":null,"Total":0}
引用次数: 10

Abstract

This paper presents an ultrasonic transducer modeling with VHDL-AMS IEEE 1076 integrated in a global measurement cell modeling dedicated to biological ultrasound characterization. Conventional modeling of ultrasonic transducer is based on electrical analogy and is not simulated in the global measurement environment. The ultrasonic transducer modeling proposed is simulated with the nonlinear acoustic load and electronic excitation. The transducer is a piezoceramic element vibrating in thickness mode. The theoretical equations of piezoelectricity are used to determine a matrix transfer which describes the relation between the electrical and the acoustical parts. The temporal implementation is based on the Redwood's model. Characterization of different mediums like water and ethanol with a compared method is based on the B/A ultrasonic parameter estimation. The good results obtained for temporal simulation and B/A nonlinear parameter estimation compared to measurements show that we can extended to a multi-layer characterization with an ultrasonic nonlinear imaging probe modeling.
基于VHDL-AMS IEEE 1076.1的超声压电换能器建模
本文提出了一个超声换能器建模与VHDL-AMS IEEE 1076集成在一个全球测量细胞建模专用于生物超声表征。传统的超声换能器建模是基于电类比的,没有在全局测量环境下进行仿真。利用非线性声载荷和电子激励对所提出的超声换能器模型进行了仿真。换能器是一种以厚度模式振动的压电陶瓷元件。利用压电的理论方程来确定描述电学部分和声学部分之间关系的矩阵传递。临时实现基于Redwood的模型。在B/ a超声参数估计的基础上,对水和乙醇等不同介质进行表征的比较方法。时间模拟和B/A非线性参数估计与测量结果相比取得了良好的结果,表明超声非线性成像探头建模可以扩展到多层表征。
本文章由计算机程序翻译,如有差异,请以英文原文为准。
求助全文
约1分钟内获得全文 求助全文
来源期刊
自引率
0.00%
发文量
0
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
确定
请完成安全验证×
copy
已复制链接
快去分享给好友吧!
我知道了
右上角分享
点击右上角分享
0
联系我们:info@booksci.cn Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。 Copyright © 2023 布克学术 All rights reserved.
京ICP备2023020795号-1
ghs 京公网安备 11010802042870号
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术官方微信